20计本一班实验

一.两个实验代码
module p2s(data_in,clock,reset,load,data_out,done);
input [3 : 0] data_in;
input clock,reset,load;
output data_out;
output done;
reg done;
reg [3 : 0] temp;
reg [3 : 0] cnt;

always@ (posedge clock or posedge reset )
begin
if (reset)
begin
temp<=0;
cnt<=0;
done<=1;
end
else if (load)
begin
temp<=data_in;
cnt<=0;
done<=0;
end
else if (cnt==3)
begin
temp <= {temp[2:0],1’b0};
cnt<=cnt+1;
done<=1;
end
else
begin
temp <= {temp[2:0],1’b0};
cnt<=cnt+1;
done<=0;
end
end

assign data_out=(done==1) ?1’bz :temp [3];

endmodule

  1. module decoder3x8 (din, en, dout,ex) ;input [2:0] din;

input en;

output[7:0] dout;

output ex;

reg [7:0] dout;

reg ex;

always @ (din or en)

if (en)

begin

dout=8’b1111_1111;

ex=1’b1;

end

else

begin

case (din)

3’b000:begin

dout=8’b1111_1110;
ex=1’b0;

end

3’b001:begin

dout=8’b1111_1101;
ex=1’b0;

end

3’b010: begin

dout=8’b1111_1011;
ex=1’b0;

end

3’b011:begin

dout=8’b1111_0111;
ex=1’b0;

end

3’b100: begin

dout=8’b1110_1111;
ex=1’b0;

end

3’b101:begin

dout=8’b1101_1111;
ex=1’b0;

end

3’b110:begin

dout=8’b1011_1111;
ex=1’b0;

end

3’b111:begin

dout=8’b0111_1111;
ex=1’b0 ;

end

default:begin

dout=8’b1111_1111;
ex=1’b0;

end
endcase
end
endmodule

二、实验过程
按照之前老师的操作可以得到以下的波形图在这里插入图片描述
在这里插入图片描述

这些波形图就是实验的结果.

三、实验心得
每一次实验都新的尝试,都会出现不一样的问题,每一次都是一次很好的实践实验,让自己更加细心,在以后的学习中要更加认真学习。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值