自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(36)
  • 收藏
  • 关注

原创 Stream常用方法

Stream常用方法

2023-10-06 21:18:46 90

原创 linux面试(命令大全)

一些常用的linux命令,方便大家在面试中回答

2023-09-01 23:14:42 309

原创 Java常用统一响应对象

Java常用统一响应对象。

2023-08-11 16:39:19 119

原创 【毕设-课设】新生报到管理系统

2、首页可以了解学校简介,学校概况(学校风光,专业介绍,招生就业,合作交流),通知公告、新生报到、在线缴费、宿舍预约、在线咨询。7、数据统计(数据可视化):统计新生的性别比例、学院人数占比、从哪里来、名族比例。2、学生管理:审核学生注册信息,增加用户、修改或删除账户,导出excel;3、报到预约管理:查看学生报到预约信息,导出excel;8、信息管理:发布或者修改学校简介、概况、公告、校园地图。9、数据导出:导出所有学生数据、导出已经报道的学生数据。7、查看宿舍:学生查看住宿情况,查看室友。

2023-08-06 14:55:33 156

原创 【源码】springboot校园互助系统、毕业设计

Jdk1.8 + Tomcat7.0 + Mysql + HBuilderX(Webstorm也行)+ Eclispe(IntelliJ IDEA,Eclispe,MyEclispe,Sts都支持)。若包含,则为maven项目,否则为非maven项目。SSM + mybatis + Maven + Vue 等等组成,B/S模式 + Maven管理等等。2.IDE环境:IDEA,Eclipse,Myeclipse都可以。或者 Mac OS;3.tomcat环境:Tomcat 7.x,8.x,9.x版本均可。

2023-06-24 16:39:03 76 1

原创 算法入门之两数之和、合并两个有序数组

算法入门之两数之和、合并两个有序数组

2023-06-12 21:12:10 75 1

原创 mybatis之单元测试org.junit.runners.model.InvalidTestClassError异常解决

springboot-mybatis单元测报错问题能正确测试,但是出现如下错误信息解决方案:正确应该是导入:improt org.junit.Test;

2023-05-28 21:52:43 1183

原创 快速完美解决mapper.xml文字全灰色xml文件不被识别

创建mybatis工程时,mapper映射文件格式变灰,完美解决方法。

2023-04-27 09:33:08 871

原创 SpringBoot整合MybatisPlus

mybatispuls

2022-12-07 22:03:53 228

原创 SpringBoot面试题

SpringBoot十分有用的面试题

2022-11-02 21:09:42 81

原创 使用VScode创建Vue项目

使用vscode创建vue项目

2022-11-02 19:52:43 1374

原创 使用git远程仓库

​ 首先要生成公钥和私钥。打开git命令行,输入 ssh-keygen -t rsa -C “自己的邮箱地址”​ 在用户目录下可以找到.ssh文件夹,用文本编辑器打开id_rsa.pub文件,并复制里面的内容。​ 打开浏览器输入https://gitee.com/login,登录自己的码云账号,在设置页面,打开SSH公钥,把刚才复制的内容粘贴到里面。​ 在码云的个人主页上,可以新建项目。

2022-11-02 09:31:39 124

原创 Redis简介-常用命令

​ Redis 全称 Remote Dictionary Server(即远程字典服务),它是一个基于内存实现的键值型非关系(NoSQL)数据库,由意大利人 Salvatore Sanfilippo 使用 C 语言编写。​ Redis 遵守 BSD 协议,实现了免费开源,其最新版本是 6.20,常用版本包括 3.0 、4.0、5.0。

2022-10-27 11:27:48 95

原创 算法设计-枚举案例

枚举是基于已有知识进行答案猜测的问题求解策略

2022-10-27 11:19:03 307

原创 SpringBoot数据检验学习笔记

​ 通常来说,为了提高系统运行效率,都会在前端进行数据校验,但后端的数据校验仍然必不可少,因为用户还是可能在获取数据接口后手动传入非法数据,所以后端还是需要做数据校验。对于数据校验,Spring Boot 也提供了相关的自动化配置解决方案,下面通过样例进行演示。我们先看一个代码进行数据校验的例子我们可以看到代码比较长,对程序员不那么友好。SpringBoot提供了一种更快捷的数据检验方法。

2022-10-22 14:47:02 165

原创 SpringBoot整合Swagger2

SpringBoot整合Swagger2简明教程

2022-10-22 11:20:17 306

原创 SpringBoot国际化小案例

2022-10-16 11:14:42 177

原创 SpringBootData整合JPA学习笔记

一、什么是JPA​ JPA是一套Java官方制定的ORM 方案,是Java的一个规范。Spring Data JPA是对基于 JPA 的数据访问层的增强支持。应用JPA的主流框架:Hibernate (JBoos)、EclipseTop(Eclipse社区)、OpenJPA (Apache基金会)二、为什么使用JPAJPA优点jPA存在的缺点:将语言与数据库混在一起,导致数据改动以后,配置文件必须更新对与多数据与大数据量处理很容易产生性能问题。

2022-10-16 11:03:45 261

原创 Python小游戏_滑雪(附带原码)

这是一个用pygame写的滑雪者的游戏。skier从上向下滑,途中会遇到树和旗子,捡起一个旗子得10分,碰到一颗树扣100分,可以用左右箭头控制skier方向。安装pygamepip install pygame1用pip或设置界面安装,可自行百度以下是主界面代码,每一个类都是一个py文件,需要导包import pygameimport randomfrom settings import Settingsfrom skier import Skierfrom treeFlag impor

2022-06-22 17:00:15 1742

原创 多维数组的使用

1、二维数组的理解对于二维数组的理解,我们可以看成是一维数组array1又作为另一个一维数组array2的元素存在。其实,从数组底层的运行机制来看,其实没有多维数组。 *2、1)二维数组的声明和初始化2)如何调用数组的指定位置的元素3)如何获取数组的长度4)如何遍历数组5)数组元素的默认初始化值6)数组的内存解析public class ArrayTest02 { public static void main(String[] args) { //二维数组的声明和初始化 in

2022-05-08 21:51:51 156 1

原创 数组的基本知识;一维数组的简单列子

一、数组的概述1、数组(Array)是多个相同数据类型数据按一定顺序排列的集合,并使用一个名字命名,并通过编号的方式对这些数据进行统一管理。2、数组相关的概念数组名元素角标、索引、下标数组的长度:元素的个数3、数组的特点:1)数组是有序排列的。2)数组属于引用数据类型的变量。数组的元素,既可以是基本数据类型,也可以是引用数据类型。3)创建数组对象会在内存中开辟一整块连续的空间。4)数组的长度一旦确定,就不能修改。4、数组的分类:1)按照维数:一维数组、二维数组、2)按照数组元素的

2022-05-08 11:52:54 126

原创 java流程控制

for循环for 循环的结构:for(初始化条件;循环条件;迭代条件){循环体}注意:循环条件 ------->是boolean类型的if-else1、else结构是可选的2、结构:n选一if(条件表达式){执行表达式 1}else if(条件表达式){执行表达式2}else if(条件表达式3){执行表达式3}…else{执行表达式n}3、if-else结构是可以相互嵌套的4、如果if-else结构中的执行语句只有一行时,对应的一对{}可以省略,但是不建议大

2022-05-05 17:16:41 33

原创 switch case分支结构

分支结构switch -case1、格式switch(表达式){case 常量1:执行语句//breakcase 常量2:执行语句//breakcase 常量3:执行语句://break…default:执行语句//break注意break,可以使用在switch -case结构中,表示一旦执行到此关键字,跳出switch-case结构switch 结构中,只能是如下6中数据类型之一:byte、short、char、int 、枚举类型(JDK5.0)、String类型(J

2022-04-19 19:45:39 388

原创 day03 Scanner类 if -else结构

1.、导包 import java.util.Scanner;2、实列化Scanner

2022-04-10 15:05:22 212

原创 day03运算符

运算符之一:算术运算符加加减减(+、 、- *、 / ; ++、–、)取余运算 %结果的符号与被模数符号相同运算符之二:赋值运算符扩展赋值运算符:+=、-=、 /=,%=short s1=10;s1+=2;结果不改变变量本身的数据类型运算符之三:比较运算符(==)、!=、<、>、 <= 、>=、instanceof比较运算符的结果是boolean类型运算符之四:逻辑运算符&逻辑与 | 逻辑或 !逻辑非&amp

2022-04-10 10:28:32 56

原创 day02

二进制:0,1以ob 或者oB开头十进制:0-9八进制:0-7十六进制:0-9及A-F计算机底层都是用补码来存储数据

2022-04-08 17:03:10 32

原创 自动类型转换及强制类型转换

byte 、char、short、–>int ----->long----->float----->double特别的:当byte、char、short、三种类型的变量做运算是,结果为int

2022-04-08 10:19:00 59

原创 Verilog HDL 高级数字设计实验

1、在软件中输入代码module Register_File#(parameter word_size=32,addr_size=5)(output [word_size-1:0] Data_Out_1,Date_Out_Out_2,input [word_size-1:0] Data_in,input [addr_size-1:0] Read_Addr_1, Read_Addr_2, Write_Addr,input Write_Enable,Clock);reg

2021-06-27 21:41:01 221

原创 数字系统设计及仿真十二章选题4

1、在编译软件输入代码module machine(clk,money_in1,money_in2,select,item_confirm,money_confirm,cancel,sold_out,money_out,item_out,sta);input clk;//为方便计算钱数,以五角钱为一单位input money_in1; //投入五角硬币input money_in2; //投入一元硬币input [1:4] select; //选择货物,只有一位有效input item_con

2021-06-22 15:27:08 208

原创 期末个人实验教材题目

1、在编译器输入代码module fulladd(Cin,x,y,s,Cout);input Cin,x,y;output s,Cout;assign s=xyCin,Cout=(x&y)|(x&Cin)|(y&Cin);endmodule2、新建一个文件进行存储3、存储好了之后就点运行等全部运行成功之后就联合modelsim进行仿真4、等待几分钟仿真好了就出现了时序图5、完成这一次实验总结:1、每一次实验都是考验我输入代码的细心,步骤已经基本掌握,2

2021-06-22 11:11:35 52

原创 20计本一班实验

一.两个实验代码module p2s(data_in,clock,reset,load,data_out,done);input [3 : 0] data_in;input clock,reset,load;output data_out;output done;reg done;reg [3 : 0] temp;reg [3 : 0] cnt;always@ (posedge clock or posedge reset )beginif (reset)be

2021-06-04 15:39:38 51

原创 20计本一班数字逻辑基础实验

一、modelsim工程仿真流程代码:module fulladd(sum,c_out,a,b,c_in);output sum,c_out;input a,b,c_in;wire s1,c1,c2;xor (s1,a,b);and (c1,a,b);xor (sum,s1,c_in);and (c2,s1,c_in);or (c_out,c2,c1);endmodule庆幸 13:19:36module test;wire sum, c_out;reg a,b,c_in;f

2021-06-01 22:34:45 58

原创 数字电路第四次实验

1.新建文件夹命名fulladd2新建一个工程,点击输入框,输入代码module fulladd(sum,c_cut,a,b,c_in);output sum,c_cut;input a,b,c_in;wire s1,c1,c2;xor (s1,a,b);and (c1,a,b);xor (sum,s1,c_in);and (c2,s1,c_in);or (c_out,c2,c1);endmodulemodule test;wire sum,c_out;reg a,b,c_in;

2021-05-21 21:20:17 45

原创 数字电路实验四--编译器的门级建模

一 实验过程第一步:打开Quartus II新建一个工程文件路径如图(新建一个与项目名称相同的文件)第二步:点击file 新建,对话框中选择 Verilog HDL File第三步:输入代码module DEC2x4 (Z,A,B,Enable );output [3:0] Z;input A,B,Enable;wire Abar,Bbar;notnot0 (Abar,A),not1 (Bbar,B);nandnand0(Z[3],Enable,A,B),nand1(Z[0],E

2021-05-21 21:13:02 192

原创 第二次试验报告

1、演示2、选择Assignment,点击Setting…3、完成配置后4、选择Tool,点击Run Simulation Tool中的RTL Simulation,会自动打开Modelsim(需要编译一下)5、其次也可以通过创建波形文件进行仿真,但功能没有Modelsim强。具体步骤如下...

2021-04-15 19:11:23 30

原创 第一次实验

第一次实验1.实验目的:对Quartus ii 进行仿真实验。2.实验内容:对教材《数字逻辑基础与Verilog设计》上P38实验进行仿真。3.实验原理:利用计算机进行仿真实验,使用Verilog语法。4.实验软件:Quartur ii和计算机。5.实验截图:6.软件安装视频:教学视频 Quartus II 软件安装与入门教程https://www.bilibili.com/video/BV1Mb411q7N7?p=27.软件下载网站:https://pan.baidu.com/s

2021-03-14 22:00:12 113

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除