Quartus ii

1.实验目的:对Quartus ii13.1版本进行仿真实验。
2.实验内容:根据教材或视频设计好实验图后对其进行仿真。
3.实验原理:Verilog代码
4.实验截图:
原理图编辑
检测该图是否存在问题
制作仿真图

仿真实验完成

5.实验视频
https://v.douyin.com/eLRTxnp/

6.软件下载途径
https://pan.百度.com/s/1ZT0ovNAAZ_j3jTGzVCYfbQ
提取码:5jf2

7.软件安装及破解视频
https://www.bilibili.com/video/BV1Mb411q7N7?p=2

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值