FPGA中 关于ROM IP核的详细配置过程

以上就是调IP的具体过程

这里给大家展示一下单端口和双端口的代码

单端口

`timescale 1ns / 1ps
//打两拍

module rom_1(
              input            sysclk      ,
              input            rst_n     ,
              output  [7:0]    douta
    );
           reg             ena;
           reg  [7:0]      addra;
           //ena
           always@(posedge sysclk)
              if(!rst_n)
               ena<=0;
             else
              ena<=1;
         //addra
          always@(posedge sysclk)
              if(!rst_n)
              addra<=0;
           else if(ena==1)
             addra<=addra+1;
            else
            addra<=addra;
    
    
    
    
 blk_mem_gen_0 your_instance_name (
  .clka(sysclk),    // input wire clka
  .ena(ena),      // input wire ena
  .addra(addra),  // input wire [7 : 0] addra
  .douta(douta)  // output wire [7 : 0] douta
);   
    
    
    
    
endmodule
`timescale 1ns / 1ps
//双端口


module rom_3(

              input            sysclk      ,
              input            rst_n       ,
              output  [7:0]    douta       ,
              output  [15:0]    doutb
    );
           reg             ena;
           reg  [7:0]      addra;
           reg             enb;
           reg  [7:0]      addrb;
           //ena
           always@(posedge sysclk)
              if(!rst_n)
               ena<=0;
             else
              ena<=1;
         //addra
          always@(posedge sysclk)
              if(!rst_n)
              addra<=0;
           else if(ena==1)
             addra<=addra+1;
            else
            addra<=addra;
             //enb
           always@(posedge sysclk)
              if(!rst_n)
               enb<=0;
             else
              enb<=1;
         //addrb
          always@(posedge sysclk)
              if(!rst_n)
              addrb<=0;
           else if(enb==1)
             addrb<=addrb+1;
            else
            addrb<=addrb;
    
    
    
    
    
    
    
    
    
    
    
    
    
  blk_mem_gen_2 your_instance_name (
  .clka(sysclk),    // input wire clka
  .ena(ena),      // input wire ena
  .addra(addra),  // input wire [7 : 0] addra
  .douta(douta),  // output wire [7 : 0] douta
  .clkb(sysclk),    // input wire clkb
  .enb(enb),      // input wire enb
  .addrb(addrb),  // input wire [6 : 0] addrb
  .doutb(doutb)  // output wire [15 : 0] doutb
);  
    
    
    
    
    
    
    
endmodule

  • 14
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值