PROTEUS仿真软件的使用及存储器的设计

proteus

proteus,即EDA工具软件。Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是比较好的仿真单片机及外围器件的工具。虽然国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。
Proteus是英国著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DSPIC33、AVR、ARM、8086和MSP430等,2010年又增加了Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MATLAB等多种编译器。
本文简单学习一下PROTEUS仿真软件的使用及存储器的设计:
(1)使用PROTEUS软件绘制电路原理图。
(2)利用外部编译器编译8086汇编程序。
(3)基于8086微处理器的VSM仿真。
一、实验内容:
在PROTEUS中,利用62256存储器芯片设计RAM存储器。
1、PROTEUS电路设计
在这里插入图片描述

2、代码设计

CODE  SEGMENT
      ASSUME CS:CODE
START:MOV AX,1000H   ;RAM的起始地址为1000H:0000H
      MOV DS,AX
      MOV SI,0
      MOV CX,10      ;向RAM中存10个数
      MOV DL,0       ;置初值
SIM:  MOV [SI],DL  
      INC DL
      INC SI
      LOOP SIM
ENDL: JMP ENDL
CODE  ENDS
      END START

仿真结果

RAM存储器奇体运行结果图

在这里插入图片描述

RAM存储器偶体运行结果图

在这里插入图片描述

  • 5
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
设计一个00-99的计数器的Proteus仿真电路和汇编语言程序,可以按照以下步骤进行: 1. 首先,需要使用Proteus软件创建一个新的项目。在项目添加一个电路图,并选择合适的微控制器作为计数器的控制器。常用的微控制器有PIC系列或者Arduino。 2. 在电路图上添加一个数码管(7段数码管或者LCD数码显示屏)来显示计数值。同时,连接一个按键开关或者旋转编码器作为输入,用于控制计数器。 3. 编写汇编语言程序。在程序,首先需要初始化计数器的值为00,并设置几个变量用于保存计数器的当前值。然后,创建一个循环,在循环检测按键或者旋转编码器的输入,并根据输入值的变化更新计数器的值。当计数器的值达到99时,重新回到00。 4. 将汇编语言程序烧录到微控制器。通过编译器将汇编程序转化为机器码,并将机器码烧录到微控制器的存储器。 5. 在Proteus仿真运行电路。通过Proteus仿真功能,可以模拟按键或者旋转编码器的输入,并观察数码管显示的计数值是否正确。 6. 调试和优化。如果仿真结果不正确,可以通过修改程序或者电路连接进行调试,并优化设计,以确保计数器的功能正常。 通过以上步骤,就可以设计一个00-99计数器的Proteus仿真电路和汇编语言程序。该计数器可以根据输入的按键或者旋转编码器的操作来实现计数功能,并通过数码管显示当前的计数值。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

听风者i

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值