OV7725引脚配置
以下xdc文件配置的板子是pynq-Z2 :
#----------------------摄像头接口的时钟---------------------------
create_clock -period 41.600 -name cam_pclk -waveform {0.000 20.800} [get_ports cmos_pclk]
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets cmos_pclk_IBUF]
#----------------------HDMI接口---------------------------
set_property PACKAGE_PIN J18 [get_ports {TMDS_tmds_data_p[2]}]
set_property PACKAGE_PIN K19 [get_ports {TMDS_tmds_data_p[1]}]
set_property PACKAGE_PIN K17 [get_ports {TMDS_tmds_data_p[0]}]
set_property PACKAGE_PIN L16 [get_ports TMDS_tmds_clk_p]
#----------------------摄像头接口---------------------------
set_proper