VHDL--从十进制计数器理解SIGNAL和VARIABLE的区别

偶然间在网上看到网友请教关于十进制进位的问题,具体问题见:

帮忙解释一个VHDL语言描述10进制cnt10的问题

  其中讲述了,里面陈述了SIGNAL定义的中间变量Q在累加到8时就开始进位,而不是累加到9时进位,为此我设计了两个相似的语言程序对此进行理解:

程序一:

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY cnt10a IS
PORT(
CLK:IN BIT;
OE:OUT BIT;
Y:OUT INTEGER RANGE 0 TO 10);
END CNT10a;

architecture RT of cnt10a is
signal Q:INTEGER RANGE 0 TO 10;
BEGIN
PROCESS(CLK)
   BEGIN
      IF(CLK'EVENT AND CLK='1')THEN
           IF Q=9 THEN
             OE<='1';
             Q<=0;
           ELSE 
             Q<=Q+1;
             OE<='0';
             END IF;     
      END IF;
     Y<=Q;
 END PROCESS;
  
END RT;	

以下是仿真后的结果:

这是一个十进制计数器的VHDL

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值