自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(17)
  • 资源 (11)
  • 收藏
  • 关注

转载 匹配滤波器的物理解释

匹配滤波器的物理解释         匹配滤波器是一种非常重要的滤波器,广泛应用与通信、雷达等系统中。匹配滤波器的推导数学公式看起来很负责,在通信系统、雷达系统、随机信号处理等很多教科书中都有详细的推导过程。最开始的时候,顺着推导的过程,基本也能推导下来,但对其内在的涵义却无半点认识,可以说完全淹没在公式推导的海洋了。       张直中老师可以说是新中国雷达事业的开拓者之

2017-01-27 21:33:54 24620 2

转载 升余弦滤波器与根升余弦滤波器

1 升余弦滚降滤波器有啥用? 1, 升余弦滚降滤波器本质上只是一个低通滤波器,只不过它的滚降因子(rolloff effect)会对波形的幅度产生一定影响,一般是降低了波形的幅度并且低通,2.升余弦滚降信号用来消除码间串扰,实际实现时采用的方式是由发送端的基带成行滤波器和接收端的匹配滤波器两个环节公共实现。传输系统的传递函数二者的乘 积,所以每个环节均为平方根升余弦滚降滤波器。2 根升余弦

2017-01-27 20:32:26 41325 6

转载 基带传输与成形滤波

平方根升余弦滤波器1.Rcosfir:design a raisedcosine FIR filter.(rcosfir是低通滤波用的,以便后面加载频。)     B= RCOSFIR(R, N_T, RATE, T) designs and returns a raised cosine FIR filter.   Araised cosine filter is typ

2017-01-27 19:42:32 29913 2

转载 数字基带传输与码间干扰

一、数字基带传输系统的模型  基带传输系统主要由4部分组成:发送滤波器、信道、接收滤波器和抽样判决器。为了保证系统可靠有序的工作,还应有同步系统。图1 数字基带传输系统方框图各部分功能和信号的传输过程如下:  (1) 信道信号形成器。也称为发送滤波器,它的功能是用来产生适合于信道传输的基带信号。  (2)信道。基带传输系统的信道通常是有线信道,如双绞

2017-01-27 10:22:57 13549

转载 Xilinx FPGA中SRL(移位寄存器)资源

SRL(移位寄存器)资源,在FPGA中都有,不过是叫不同的名字。Xilinx FPGA内部的LUT有个特殊功能,就是可以配置成可变长度SRL。5输入的一个LUT可以变成32bit 的SRL6输入的,可以变成64bit的SRL所以,你写的SRL可能被综合成LUT。可以定义移位长度的移位寄存器。就是用一个lut可以实现16位的移位寄存器。SRL1

2017-01-25 23:00:40 15331

转载 Matlab产生高斯白噪声

matlab中噪声功率、噪声方差关系以matlab中awgn函数为例说明:    在matlab中无论是wgn还是awgn函数,实质都是由randn函数产生的噪声。即:wgn函数中调用了randn函数,而awgn函数中调用了wgn函数。    根据awgn的实现代码可以知道”向已知信号添加某个信噪比(SNR)的高斯白噪声“,即:awgn(x,snr,’measured’,'linear

2017-01-18 23:06:40 90063 5

转载 带通信号采样

带通采样过程分析  除了低通采样定理以外,还有用途更广的一种采样方法。这就是带通采样。大家知道,低通采样的中心频率是0Hz。如果中心频率不是0,那么我们就要使用另外一种方法了。 看下图2-7(a),中心频率为20MHz,带宽B= 5Hz。                  图2-7       [(a)原始连续信号频率(b)采样信号频率,采样率17.5MHz] 

2017-01-14 23:00:56 28377 1

转载 内插以及在数字上变频中的应用

在软件无线电和测试仪器中,设计人员采用多种数字信号处理(DSP)技术来改善系统性能。内插法是一种DSP技术,可以用内插法提高数字信号采样率。在采用零差式上变频的收发器中,内插法可以改善模拟性能。此外,在外差(用中频)上变频中,需要使能带数字载波的混频基带信号。此称之为数字上变频。因此,内插法在现代通信系统中有一定的实用性。  本文将描述各种内插技术,选择内插法时的特殊考虑以及使用内插法的一

2017-01-14 22:58:27 7522 4

转载 低通采样和带通采样定理

耐奎斯特采样定理[2]:我们假设有一个时间连续信号  x(t)的频带在(0, fH)之间,以采样速率为连续信号频率 2 倍(fs=2fH)的采样速率对 x(t)进行等间隔采样,得到时间离散的采样信号 x(n)=x(nTs),   (其中 Ts=1/fs为采样间隔), 则原始信号  x(t)将被所得到的采样值  x(n)完全确定,这就是

2017-01-14 22:54:13 11577

转载 数字下变频和数字上变频

在超外差式接收机中,如果经过混频后得到的中频信号比原始信号低,那么此种混频方式叫做下变频 (Down Converter or DC)。将射频信号通过一次或者几次的模拟下变频转换到中频上,在中频对信号数字化,然后再进行数字下变频。数字下变频(Digital Down Converter or DDC)是软件无线电的核心技术之一。  可以把数字下变频分为两个基本的模块,数控振荡器:NCO

2017-01-14 22:41:26 32251 1

转载 软件无线电

软件定义的无线电(Software Defined Radio,SDR) 是一种无线电广播通信技术,它基于软件定义的无线通信协议而非通过硬连线实现。频带、空中接口协议和功能可通过软件下载和更新来升级,而不用完全更换硬件。中文名软件定义的无线电外文名Software Defined Radio类    型通信技术简    称SDR

2017-01-14 22:13:58 4678

转载 verilog中有符号数运算

verilog中的有符号数运算 有符号数的计算:若有需要关于有号数的计算,应当利用Verilog 2001所提供的signed及$signed()机制。 Ex: input signed [7:0] a, b; output signed [15:0] o; assign o = a * b; or input  [7:0] a, b; 

2017-01-07 12:35:36 18432 1

转载 原码乘法、补码乘法

1 .原码乘法         1.人工算法与机器算法的同异性  在定点计算机中,两个原码表示的数相乘的运算规则是:乘积的符号位由两数的符号位按异或运算得到,而乘积的数值部分则是两个正数相乘之积。  设n位被乘数和乘数用定点小数表示(定点整数也同样适用)       被乘数   [x]原=xf .xn-1…x1x0  

2017-01-07 12:23:36 42576 1

转载 有符号二进制数的乘法

最近在阅读《深入理解计算机系统》讲到补码乘法,书上给了一个例子是三位无符号和补码的乘法表。其中两个负数的例子:3位二进制乘法结果一般需要6为二进制表达带符号数x=101=-3 和y=011=3相乘 结果为110111=-9 如果直接算出来十进制是-9然后转换为6为二进制我也能理解,但是我很好奇他利用了什么规则得出这样的结果。根据结果推过程我认为计算机做计算都要按照正数或者说无符号数

2017-01-07 12:19:07 39161 7

转载 超前进位加法器

在实时信号处理中,常常要用到多位数字量的加法运算,但串行加法器速度较慢,超前进位加法器则能满足要求,且结构并不复杂。现在普遍使用的并行加法器是超前进位加法器,只是在几个全加器的基础上增加了一个超前进位形成逻辑,以减少由于逐步进位信号的传递所造成的时延。   具体的算法为:       S[i] = x[i] ^ y[i] ^ C[i];      C[i] = G[i-1] + P[i

2017-01-07 12:13:23 5862

转载 FPGA硬件构成详解

OFweek电子工程网讯 我的许多朋友都是经验丰富的嵌入式设计工程师,但他们都是微控制器(MCU)背景,因此对于FPGA是什么以及FPGA能做什么只有一个模糊的概念。如果问急了,他们会说“你可以通过配置FPGA让它做不同的事情”诸如此类的话,但他们真的不是很清楚FPGA里面有什么,或者如何在设计中使用FPGA。  事实上,MCU对有些任务来说是很适合的,但对其它一些任务来说可能做的并不好。

2017-01-01 10:24:11 24469

转载 7 series FPGA DSP48E介绍

DSP48E的计算部分有一个25×18的二进制补码乘法器,接着是3个48位的数据通路多路复用器(X,Y和Z),其后是一个3输入加/减法器或二输入逻辑运算单元。当使用二输入逻辑运算单元时,乘法器不能使用。  A和B数据输入可选择是否寄存输入或选择寄存一级或两级,这样有助于构建多种类型,高度流水化的DSP应用,其他数据输入和控制输入端可选择寄存一级输入。当使用流水寄存器时,DSP4

2017-01-01 10:18:32 10629

msk调制与gmsk调制

使用matlab进行仿真,编写的非常详细的msk调制与gmsk调制调制程序。这些程序是自己通过各种查资料以及在自己独立的修改下完成的有关于msk、以及gmsk信号的一些特征与性质的仿真分析。

2014-01-13

matlab仿真qpsk gmsk msk fsk qam ask

仿真了通信原理中常用到的调制技术。包括了qpsk gmsk msk fsk qam ask psk oqpsk ssb等。每种调制方式都编写了详细的调制程序。这些程序是自己做毕业设计的时候用到的,仿真结果经受住了老师的检验。因此可以保证每一个程序可以正确的运行以及得到的波形图的正确性。这些程序特别适用于那些做通信原理课程设计以及毕业设计的人。在这里将自己花了很久的时间编写的程序分享给大家,希望能够给大家一定的帮助。

2014-01-13

OFDM性能仿真

OFDM通信系统性能的仿真信道为AWGN和Rayleigh信道。仿真的软件平台是matlab

2013-06-19

qam与qpsk调制解调

QAM与QPSK的调制解调,里面还有ask,bpsk,64qam,msk的相应仿真。使用matlab进行。

2013-06-19

msk与gmsk仿真

msk与gmsk'仿真,分别用matlab进行了调制解调的仿真。

2013-06-19

物理层仿真

自己做的物理层仿真,使用到了MATLAB,并且进行了gui设计。

2013-06-19

msk与QPSK调制解调

使用matlab仿真程序实现了MSK、QPSK的调制与解调。给出了多种调制解调的方法。所有的程序能够良好的运行,并且给出了调制解调时候的波形图。可以作为研究数字调制技术的参考。

2013-06-03

msk调制解调

使用matlab仿真程序实现了FSK、MSK的调制与解调。程序能够良好的运行,并且给出了调制解调时候的波形图。可以作为研究数字调制技术的参考。

2013-06-02

GMSK与MSK调制解调

使用matlab仿真程序实现了GMSK、MSK、OQPSK、QPSK的调制与解调。程序能够良好的运行,并且给出了调制解调时候的波形图。可以作为研究数字调制技术的参考。

2013-06-02

DPSK的调制解调

基于systemview的仿真,实现了2DPSK的调制与解调。分析了其误码率性能。并且文中给出详细的仿真结果图,可以作为很好的参考。

2013-06-02

msk 的调制解调

基于matlab的msk仿真程序。里面包括了msk的正交调制的程序,以及msk相干解调的程序。并且程序中还分析了msk的功率谱,能够做出msk的调制与解调过程中的波形。

2013-04-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除