FPGA学习之点亮LED——正点原子ZYNQ学习笔记2

众所周知,软件语言的第一步是HelloWorld,而硬件的第一步就是点燃 引爆 点亮一颗LED灯。而想要点灯,就需要了解FPGA的开发流程。

FPGA开发流程

在硬件设计过程中,必须要明确自己的目标,细化要求,多沟通,这样才能实现想要的目标。第二步,要对整个目标进行划分,一点一点完成。然后就是对硬件的选型。接下来就是对具体功能的实现。第一步要对整个逻辑进行抽象,从具体的需求转化为数字信号的变化,绘制波形图就相当于是真值表或者状态机,最后就可以编写RTL代码了。为了验证代码,先要使用Modelsim进行仿真,然后就可以导入Vivado里,通过vivado生成电路图。实现电路后还要把电路的硬件绑定到引脚上。最后就可以调试了。

项目管理

一个好的团队就必须有纪律,一个好的项目也必须有组织。只有对项目文件合理管理,才能在开发时更有条理。

比如一个项目可以有四个子文件夹,分别存放项目、程序、仿真文件和数据手册。

实际操作

前置知识

原理图

教程中要实现一个把按键同步到LED的功能,这个功能非常简单(就是一个非门),所以前面的需求分析可以跳过,而我们手里也没有其他的FPGA。电路板也不是自己设计,所以需要了解开发板的原理图。如下

当按下时,导通,信号被下拉到地,所以当按下时是0,松开为1。LED的原理图就不放了

  • 17
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值