正点原子视频学习笔记—Verilog下按键消抖, 实现LED灯的点亮和熄灭

这篇博客详细记录了使用Verilog在FPGA中实现按键消抖及LED灯控制的过程。通过按键消抖代码分析,阐述了如何确保稳定的键值,并解释了计数器设置的原因。此外,还介绍了LED灯控制模块的工作原理,以及例化模块的程序内容。
摘要由CSDN通过智能技术生成

正点原子视频学习笔记—Verilog下按键消抖, 实现LED灯的点亮和熄灭

在学习FPGA的基础阶段会有按键控制LED灯亮灭的实验,其中避免不了要对按键进行消抖处理,以及LED的控制模块,和顶层例化模块。本文包括详细的源代码和注释。

1.按键消抖部分的代码如下所示:

//key_debounce
module key_d(
	input	clk,//时钟
	input	rst_n,//复位
	input	key,//按键输入
	output	key_value,//定义key_value,来接收消抖后的按键值
	output	key_flag//按键消抖的标志,0表示未消抖,1表示已消抖
);
reg			key_reg;//判断按键是否稳定的中间寄存器
reg	[19:0]	cnt;
always@(posedge clk or negedge rst_n)
begin
	if(!rst_n)//复位状态
	begin
		key_reg<=1'b1;//按键未按下为1
		cnt<=20'd0;
	end
	else
	begin
		key_reg<=key;
		if(key_reg!=key)
			cnt<=20'd1000_000;
  • 2
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值