IC
wuzhouqingcy
这个作者很懒,什么都没留下…
展开
-
linux下IC验证入门1-随机测试
有符号64位的点定数加法,带进位,随机化测试,平台vcs加法器代码//20180827//add 64bitmodule adder_64bit( input wire [63:0]a_in, input wire [63:0]b_in, input wire c_in, output c_out, output [63:0]sum_out);//有符号加法...原创 2018-08-27 15:33:14 · 1113 阅读 · 0 评论 -
system verilog1 数组,for,foreach
代码`timescale 1ns/1psmodule tb; int array1[0:7][0:3]; int array2[8][4]; initial begin for(int i=0;i<$size(array1);i++) for(int j=0;j<$size(array1[0]);j++) array1[i]...原创 2018-08-28 17:33:20 · 6550 阅读 · 0 评论 -
UVM实战的例子在linux+vcs中编译
运行这代码的方法很多,下面的方法可能是最笨的,不过刚入门,在网上查了很多资料才得到下面的方法,为了让后面的人顺利点贴出来分享。首先top_tb.sv中,将`timescale 1ns/1ps注释掉,添加`include "dut.sv",代码如下//`timescale 1ns/1ps//注释掉`include "uvm_macros.svh"import uvm_pkg::*;...原创 2018-09-04 14:30:43 · 10625 阅读 · 0 评论 -
uvm实战例子2.2.4(linux+vcs)
测试文件dutmodule dut(clk, rst_n, rxd, rx_dv, txd, tx_en);input clk;input rst_n;input[7:0] rxd;input rx_dv;output [7:0] txd;output tx_en;...原创 2018-09-05 21:04:29 · 3100 阅读 · 0 评论