system verilog1 数组,for,foreach

代码



`timescale 1ns/1ps

module tb;
  int array1[0:7][0:3];
  int array2[8][4];
  initial begin
    for(int i=0;i<$size(array1);i++)
      for(int j=0;j<$size(array1[0]);j++)
        array1[i][j]=2*i+j;
    array2=array1;
    foreach(array1[i])
      foreach(array1[i][j])begin
        $display("\narray1[%d][%d]=%d",i,j,array1[i][j]);
        $display("\narray2[%d][%d]=%d",i,j,array2[i][j]);     
      end
  end
endmodule

terminal中的命令

vcs -R -sverilog type2.sv 

-sverilog vcs中的指令,以system verilog编译 -R 运行和编译指令

结果

array1[          0][          0]=          0

array2[          0][          0]=          0

array1[          0][          1]=          1

array2[          0][          1]=          1

array1[          0][          2]=          2

array2[          0][          
  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值