自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(33)
  • 资源 (3)
  • 收藏
  • 关注

原创 matlab写FPGA重复的testbench

在写FPGA的测试代码的时候,有的时候需要从外部的文件中导入数据,但是又对这些不是很熟,因为输入数据的操作都是重复的工作,所以这时候就可以直接用matlab实现了。还有装入rom的coe文件也可以用matlab实现,都非常方便。 matlab代码如下% 初始化clc;clear;% read fileload('dec_64.mat');fid=fopen('F:\b...

2019-01-05 10:37:27 556

原创 xilinx zynq的lwip的官方例程解析

20181025  vivado2016.4  sdk花了两天时间看的,总算是看懂了一点,不过主要看的是以太网怎样接收数据和发送数据的,其他的还不是很懂,将看懂的记录下,给需要的人一点参考。这个官方例子应该是通过以太网中断接收数据,并且回传接收的数据。这里timer中断的作用还没看明白。不用看懂所有代码,只需要看有注释的地方。没几句,看着很简单,但是自己去看程序的时候就没那么顺利。拿来...

2018-10-25 22:06:49 16629 2

原创 zynq的HDMI接口显示测试(用的是HDMI转VGA,开发板为MIZ701N)

图像加密需要用到HDMI显示,刚好米联客有例子,但是按着文档学习,发现怎么都显示不了,之后咨询店家,说需要HDMI使能(HDMI转VGA需要使能,不是就不需要了),电路图如下也就是对D18引脚使能,即D18=1;代码修改就简单了,直接在HDMI_display_Demon.v文件里添加一个引脚,然后添加引脚约束就可以了module HDMI_display_Demon( ...

2018-10-12 11:26:32 2149

原创 uvm实战例子2.2.4(linux+vcs)

测试文件dutmodule dut(clk, rst_n, rxd, rx_dv, txd, tx_en);input clk;input rst_n;input[7:0] rxd;input rx_dv;output [7:0] txd;output tx_en;...

2018-09-05 21:04:29 3139

原创 UVM实战的例子在linux+vcs中编译

运行这代码的方法很多,下面的方法可能是最笨的,不过刚入门,在网上查了很多资料才得到下面的方法,为了让后面的人顺利点贴出来分享。首先top_tb.sv中,将`timescale 1ns/1ps注释掉,添加`include "dut.sv",代码如下//`timescale 1ns/1ps//注释掉`include "uvm_macros.svh"import uvm_pkg::*;...

2018-09-04 14:30:43 10716

原创 system verilog1 数组,for,foreach

代码`timescale 1ns/1psmodule tb; int array1[0:7][0:3]; int array2[8][4]; initial begin for(int i=0;i<$size(array1);i++) for(int j=0;j<$size(array1[0]);j++) array1[i]...

2018-08-28 17:33:20 6591

原创 linux下IC验证入门1-随机测试

有符号64位的点定数加法,带进位,随机化测试,平台vcs加法器代码//20180827//add 64bitmodule adder_64bit( input wire [63:0]a_in, input wire [63:0]b_in, input wire c_in, output c_out, output [63:0]sum_out);//有符号加法...

2018-08-27 15:33:14 1124

原创 电子与信息学报的图片格式

1 将matlab图片的字体调整到适当的大小13.2pt最好,否则在visio里调整汉字大小汉字容易挨在yi一起编辑->复制图形2将复制的图形复制到visio(先调整visio的大小,然后调整图片的大小)3 右击取消组合(否则编辑不了)4 更改字体的字体大小5 边框改为0.5磅6 改好格式后,文件->导出->更改文件格式  ...

2018-08-13 16:18:46 2415

原创 vivado的pll时钟约束的重命名

Vivado的pll约束(重命名)主要是下面这三句话create_generated_clock -name clk_100m -source [get_pins u_my_pll/inst/plle2_adv_inst/CLKIN1] -multiply_by 2 -add -master_clock clk_in [get_pins u_my_pll/inst/plle2_adv_inst...

2018-08-09 17:44:14 6251

原创 fpga仿真错误[USF-XSim 62] 'compile' step failed with error(s).

编译通过,但是仿真不了,错误如下(在改代码之前还可以仿真的,而且仿真代码没改动)百度了,说一定是自己的代码有问题,但是找不到问题呀!之后看了下vlog.log文件,发现下面的错误这个错误的意思是用的变量,先使用,再定义的,如下代码就会仿真不了(下面的代码只是示例)aaa blk(.clk(clk),.a_in(a_in),.b_out(b_out));reg a_in;wire b_o...

2018-07-11 13:42:41 22125

原创 zynq以太网官网例子调试

小问题,弄了三四天,终于弄好了,记录下。主要是三个问题1 引脚的bank1的LVCMOS的电压不是1.8v2 以太网的52、53端口没选,看文档不知道怎么选,视频里才有3 ip地址修改不了都是小问题,但在解决的过程中太揪心了,感觉实现一个算法也就一个星期而已哎。。。。。不过在解决问题的过程中不断的新建工程,对soc开发的步骤已经非常熟了,看来放弃之前再坚持会,终会解决的。看图首先是bank1不是1...

2018-06-20 13:41:42 14600

原创 分数阶混沌系统GL算法的FPGA实现过程中的小问题

首先GL算法如下:    x(i)=(y(i-1)*z(i-1)-x(i-1))*h^q1+(c1*x(i-1)+c2*x(i-2)+....+c60*x(i-60))    y(i)=(x(i-1)*z(i-1)-y(i-1)*y(i-1))*h^q2+(c1*y(i-1)+c2*y(i-2)+....+c60*y(i-60))    z(i)=(x(i-1)*y(i-1-b*z(i-1)))*...

2018-06-03 10:31:42 829 1

转载 quartus ii 13.1破解问题

最近想学习时序约束,由于腾讯视频和网上的例子都是基于altera的所以又安装了quartus,结果发现下面的问题:Error (119013): Current license file does not support the EP4CE10F17C8 device和师弟花了一晚上没解决,用了几个破解器,x86和x64的都用了,还是没用,最后在网上发现是因为破解器的版本问题。但我用的都说可以兼容...

2018-04-12 09:44:50 7080 1

原创 混沌系统的fpga实现及实现过程中遇到的问题

混沌系统是用定点数实现的,小数位20位,整数位15位。在实现的过程中主要遇到了四个问题:1 vivado引脚分配问题2 自己在实现系统时,将系统输入错误3 混沌退化问题(由有限精度引起的,使原本混沌的系统退化为周期的系统)4 定点数的常系数乘法问题下面分别介绍下1 vivado引脚分配问题在vivado中,不进行引脚分配,不进行时钟的限定,仿真的时钟频率会很高,

2018-01-23 11:38:43 1448 4

原创 vc2013控件第一个程序

用vc2008的书学vc2013真是郁闷,很多函数都有改变,记录下;1  AfxMessageBox(_T("请输入IP地址!"));   2008没有_T不会报错,这里会报错2 CString.Format(_T("%d.%d.%d.%d"), nFild[0], nFild[1], nFild[2], nFild[3]);   2008没有_T不会错这里会报错3 #include

2017-11-03 14:52:37 287

原创 vc++记录 打开资源视图界面

最近实习的公司用用vc++写自动测试程序,觉得挺好用的,以后工作肯定也用的着,自动测试多方便呀!频谱仪、信号源都直接通过vc程序控制测试的条件,根本不需要手动的去设置,还有实验的结果可以直接写入文档,方便多了,虽然之前本科时参加比赛也接触过上位机,但作用没这么大。既然有机会就好好学吧!不过vc接触的不多,从头开始学吧!不过看公司写好的程序,学的应该比较快,c语言还是比硬件语言简单呀

2017-11-03 13:08:26 2929

原创 python实现工作区间问题

c++实现python实现"""Created on Wed Aug 30 13:15:27 2017@author: Administrator"""S=[1,2,4,6,8]#开始时间T=[3,5,7,9,10]#结束时间N=len(S)ST_pair=[]#def solve(): for i in range(N): ST_pair

2017-08-30 14:01:04 732

原创 2017中兴笔试python实现(一种通信规则)

题目的大概是,有一种通信方式,加入发送方发送个数为4,接收方回传2个;发送方发送一组数如[11,12,43,21],则接收方通过检测这组数里的二进制一的个数,返回最多的几个。[11,12,43,21]对应的二进制为[1101,0011,110101,10101],所以分别对应的1的个数为[3,2,4,3];所以应该返回43和11或21下面是代码,由三个函数组成,分别是整数转二进制函数、计算二进

2017-08-29 20:49:57 959

原创 贪心算法1(python)

贪心法就是遵循某种规则,不断贪心地选取当前最优策略的算法设计方法。V=[1,5,10,50,100,500]#钱的面值#C=[3,2,1,3,0,2]#对应钱的数量,1元的3个;5元的2个;10元的1个;依次类推C=[300,200,100,300,100,200]#A=640def solve(A): print(A) ans=0 for i in rang

2017-08-29 20:34:23 1922

原创 迷宫的最短路径(按c++的python改写)

下面是代码,结果有点问题,明天再改改# -*- coding: utf-8 -*-"""Created on Mon Aug 28 22:12:01 2017@author: Administrator"""import numpy as npimport queueINF=1000000que = queue.Queue()#建队列变量maze=np.array

2017-08-28 22:24:52 3697 3

原创 opencv-python安装(解决出现DLL Load failed的问题)

1 用pip命令安装在命令提示符中输入pip install opencv-python(命令提示符在桌面下方的搜索那输入cmd即可打开命令提示符窗口) 或者在这个下载地址下载 https://pypi.python.org/pypi/opencv-python#downloads2 下载python-3.5.2-embed-amd64,解压,并将python3.dll复制到pytho

2017-08-23 18:54:07 10329

原创 文章标题

python实现的希尔排序算法 只能实现小于等于10个数的排序# -*- coding: utf-8 -*-"""Created on Fri Jul 7 09:39:41 2017@author: wzqya"""data_list=[]num_cnt=0def data_in_func(data_list,num_cnt): print('please enter a lo

2017-07-07 10:30:10 200

原创 归并排序

按照c代码直接改好像有点问题,而且也不怎么能看懂那个代码,所以自己按着算法原理写了python代码,运行的都正确。(不过也仅仅是参考,不是最优)算法原理:归并排序是对有序数列的快速排序方法,基本思想是将序列中两个或多个元素组合成有序子序列,不断归并子序列,从而形成一个有序的序列。算法步骤:1. 将n个记录看成是n个长度为1的有序子表2. 将两两相邻的有序子表进行归并3. 重

2017-07-06 15:55:40 171

原创 直接插入排序(python)

直接插入排序算法就是将一个数据插入到已经排好序的有序数据中,从而得到一个新的个数加1的有序数据# -*- coding: utf-8 -*-"""Created on Wed Jul 5 08:00:32 2017"""s=[]cnt=0def data_in_func(s,cnt): print('请输入数据:') while True:

2017-07-05 08:54:25 255

原创 冒泡排序(python实现)

# -*- coding: utf-8 -*-"""Created on Tue Jul 4 11:37:19 2017"""data_list=[]cnt_num_all=0def data_in_func(data_list,cnt_num_all): while True: judge_continue=input('请选择是输入数据还是退出,n退出

2017-07-04 12:12:19 976

原创 选择排序算法(python实现)

算法原理:选择排序是从未排序的数据中选出最小的一个元素,顺序放在已经排好序的数列最后。设有n个数,可经过n-1趟选择排序得到有序结果。首先将数据看作1~n的无序区,有序区为空,然后从无序区中选择最小的数i,将它与无序区的第一个数进行交换,使他与后面的数构成新的有序区和无序区。再次从无序区选出最小的数x,将它放在i的后面,直到无序区空为止。如9 5 3 0 1 4 8 2 6

2017-07-04 11:18:48 356

原创 分块查找python实现

算法要求:1 输入要查找数组的大小n,然后从0~n-1生成数据。如输入的n=6则数组为[0,1,2,3,4,5]2 提示用户每一组多少个(如每组3个,则分两组)3 提示用户需要查找的数4 提示用户是否要继续查找,n结束,其他继续程序运行结果程序:# -*- coding: utf-8 -*-"""Created on Sun Jul

2017-07-02 12:22:56 1253

原创 python实现顺序查找和折半查找

1 顺序查找特点:不需要内容有序,一个一个查找缺点:查找效率低,不适合大数据 ,假设数据的总个数为n,则计算复杂度为n/2下面的程序由三个函数组成,第一个函数是装饰器,作用是计算函数的运行时间    第二个函数的作用是数据的输入,为方便直接给列表装载i    第三个函数的作用是实现顺序查找# -*- coding: utf-8 -*-"""Spyder Ed

2017-07-01 19:29:20 2162 1

原创 txt文件内容修改

1 新建一个文件,然后写入内容,再关闭2 打开之前新建的文件,再新建一个文件。读一行,如果不用修改则直接向新文件写这一行的内容,否则写修改之后的内容注意line.replace()一定要写成line=line.replace(),否则不会更换。程序运行的结果代码# -*- coding: utf-8 -*-"""功能:1 新建一个txt文件

2017-06-30 15:03:45 3909

原创 python安装已经下载的库

安装 pip install C:\Users\grace\Desktop\Django-1.11.2.tar.gz 后面是下载的库的绝对地址C:\Users\grace>pip install C:\Users\grace\Desktop\Django-1.11.2.tar.gzProcessing c:\users\grace\desktop\django-1.11.2.tar.gz

2017-06-12 17:06:20 1447

原创 用户登录接口(重点是python对excel文件的读写操作)

1 用户登录接口的功能说明2 流程图3 python对excel文件读写4 原代码1 用户登录接口的功能说明1 提示用户输入用户名2 将输入的用户名与excel里存放的用户名经行比对,如果存在则提示用户输入密码,不存在则提示用户名不存在3 如果密码输入正确,则提示“欢迎进入”4 如果密码输入超过三次不正确,则锁定账户(提示还剩下几次机会)2 流程

2017-06-12 11:40:26 1505

转载 first week python

python的学习总结,包括python的优缺点,python2和3的对比,if语句和for、while语句还有excel的读写的总结

2017-06-11 20:52:22 269

原创 fpga实现神经元

实现二输入逻辑与,逻辑或。没有用到乘法器,只用加法器和状态机,仿真没有问题,板子上运行还没有测试,仅供参考吧!module perception(clk,en_start,en_train,data_in,rst_n,y_o,w_0);input en_start;//神经元开始工作input en_train;//是训练还是测试1训练,0测试 input clk;input

2017-05-29 22:45:18 713

labview基于以太网的图片发送接收并显示的上位机

在labview2016专业版上实现上位机。具体功能是server发送图片和接收下位机回传的图片,并且显示发送和接收的图片。client实现接收图片并且回传接收的图片,并显示接收的图片。图片格式为jpeg格式。

2018-10-19

opencv-python安装文件

opencv-python的安装文件

2017-08-23

opencv-python的dll

安装opencv-python的dll

2017-08-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除