matlab写FPGA重复的testbench

在写FPGA的测试代码的时候,有的时候需要从外部的文件中导入数据,但是又对这些不是很熟,因为输入数据的操作都是重复的工作,所以这时候就可以直接用matlab实现了。还有装入rom的coe文件也可以用matlab实现,都非常方便。

 

matlab代码如下

% 初始化
clc;
clear;
% read file
load('dec_64.mat');



fid=fopen('F:\bishe\FPGA_program\matlab\verilog_tb.txt','w');
for i=1:length(dec00)
    fprintf(fid,'    din=22''d');
    fprintf(fid,'%d',dec00(i));  
    fprintf(fid,';');
    fprintf(fid,'\r\n');
    fprintf(fid,'    dvalid=1''b1;');
    fprintf(fid,'\r\n');
    fprintf(fid,'    #40;');
    fprintf(fid,'\r\n');
    fprintf(fid,'    dvalid=1''b0;');
    fprintf(fid,'\r\n');
    fprintf(fid,'    #160;');
    fprintf(fid,'\r\n');
end

fclose(fid);


下面是txt的结果

    din=22'd693733;
    dvalid=1'b1;
    #40;
    dvalid=1'b0;
    #160;
    din=22'd473899;
    dvalid=1'b1;
    #40;
    dvalid=1'b0;
    #160;
    din=22'd908996;
    dvalid=1'b1;
    #40;
    dvalid=1'b0;
    #160;

 

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值