019 分频

分频代码如下,clk_div传的虽然是4,但其实实现的是2 * (4 + 1) 分频

`timescale 1ns / 1ps

module fre_div (
	input clk,
	input rst_n,
	input[3:0] clk_div,
	output reg clk_out
);

reg[3:0] cnt;

always@(posedge clk or negedge rst_n) begin
	if(!rst_n) begin
		clk_out <= 1'b1;
	end
	else begin
		if(cnt == clk_div) begin
			clk_out <= ~clk_out;
		end
		else begin
			clk_out <= clk_out;
		end
	end
end

always @(posedge clk or negedge rst_n) begin
	if (!rst_n) begin
		cnt <= 4'd0;
	end
	else if (cnt == clk_div) begin
		cnt <= 4'd0;
	end
	else begin
		cnt <= cnt + 4'd1;
	end
end

endmodule
`timescale 1ns / 1ps

module fre_div_tb();

reg clk;
reg rst_n;
wire clk_out;

always #10 clk = ~clk;

initial begin
	clk = 0;
	rst_n = 0;
	#100;

	rst_n = 1;
end

fre_div fre_div_m0 (
	.clk(clk),
	.rst_n(rst_n),
	.clk_div(4'd4),
	.clk_out(clk_out)
);

endmodule

在这里插入图片描述

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值