自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

  • 博客(44)
  • 资源 (13)
  • 收藏
  • 关注

LIBCD.lib(crt0.obj) : error LNK2001: unresolved external symbol _main

在网上下了一个小程序,里面只有CPP文件和一个resource.h,这是一个WinMain程序,懒得建立一个win32工程,直接上来就打开CP文件进行build,编译器报告上面的错误(如题).原因:   VC++此时假定你要建立Console程序而不是GUI程序,而Console程序的Startup Code要载入的是main()而不是Winmain(),导致连接失败。改正的方法:

2012-06-28 21:26:31 197

转载 现代伺服技术十大最新发展趋势

现代伺服技术十大最新发展趋势时间:2012年5月27日 来源:互联网 关键词:自动化 工业控制 伺服技术 伺服控制        现代交流伺服系统,经历了从模拟到数字化的转变,数字控制环已经无处不在,比如换相、电流、速度和位置控制;采用新型功率半导体器件、高性能DSP加FPGA、以及伺服专用模块也不足为奇。国际厂商伺服产品每5年就会换代,新的功率器件或模块每2~2.5年就会更新一次,新的软

2012-06-11 08:24:17 754

转载 互斥对象、事件对象、关键代码段的应用和比较

线程同步的方式主要有三种:互斥对象、事件对象和关键代码段。一.     互斥对象        互斥对象(mutex)属于内核对象,它能够确保线程拥有对单个资源的互斥访问权。互斥对象包含一个使用数量,一个线程ID和一个计数器。其中线程ID用于标识系统中的哪个线程当前拥有互斥对象,计数器用于指明该线程拥有互斥对象的次数。       创建互斥对象:调用函数CreateMutex。调用

2012-06-10 10:37:20 967

转载 AfxBeginThread和CreateThread的区别

具体说来,CreateThread这个 函数是windows提供给用户的 API函数,是SDK的标准形式,在使用的过程中要考虑到进程的同步与互斥的关系,进程间的同步互斥等一系列会导致操作系统死锁的因素,用起来比较繁琐一些,初学的人在用到的时候可能会产生不可预料的错误,建议多使用AfxBeginThread,是编译器对原来的CreateThread函数的封装,用与MFC编程(当然,只要修改了项目属性

2012-06-06 13:49:11 626

转载 关于创建工作者线程

工作者线程可以说是并行执行的一个函数,其一般用来完成那些不需要用户输入的后台任务。例如数据库备份功能和网络连接状态监视等功能。        比如,一个收费站的进口只有一个收费窗口在工作。该收费窗口正在处理正常的车辆收费工作,此时来了一个车队。该车队有许多车,需要花费很长时间来完成其收费工作。为了不使其他车辆阻塞,需要另外新开一个收费窗口,专门用于解决该车队的收费。如果把正常收费的窗口看做主线

2012-06-02 21:27:45 621

转载 电容的选型技巧和应用趋势

电容的选型技巧和应用趋势原创文章:"http://www.cntronics.com/public/art/artinfo/id/80015813?page=1"【请保留版权,谢谢!】文章出自电子元件技术网。中心议题:    电源滤波电容的选择     电机驱动滤波电容的选择     运放电路中的电容选择     电容器的应用趋势         2011年,我国提

2012-03-01 08:22:02 1063

转载 系统设计师才是研发的最终出路

本文转自EETOP,作者:ertss。  以下是本人的一点小看法,不知道各位是什么想法.本人是一个美国EE排名70左右的小硕, 还未毕业,假期在国内实习, 做的项目和FPGA有关.在国外很多工程师可以做到很老,原因有2,首先是文化氛围的关系,其次是做到45

2011-10-09 08:12:32 298

原创 MOS管在驱动电路中的应用

在使用MOS管设计开关电源或者马达驱动电路的时候,大部分人都会考虑MOS的导通电阻,最大电压等,最大电流等,也有很多人仅仅考虑这些因素。这样的电路也许是可以工作的,但并不是优秀的,作为正式的产品设计也是不允许的。        下面是对MOSFET及MOSFET驱动电路基础的

2011-08-10 13:16:51 180

原创 testbench中inout类型端口的处理方式

<br />    测试平台中需要声明与待测模块输入输出端口对应的变量。与输入端口相连接的变量定义为reg,与输出端口相连接的变量定义为wire。双向端口inout在测试中需要进行简单的处理。方法如下:<br />        为双向端口设置中间变量inout_reg作为该inout的输出寄存,inout端口在testbench中要定义为wire型变量,然后用输出使能控制传输方向。<br />eg:<br />inout [0:0]  bi_dir_port;<br />在testbench中处理方式如下

2011-05-24 12:35:00 1412

原创 传输线反射形成机理

      如果信号沿互连线传播时所受到的瞬态阻抗发生变化,则一部分信号将被反射回源端,另一部分发生失真并继续传播,这正是单一网络中多数信号完整性问题产生的主要原因。      信号只要遇到瞬态阻抗突变,就会发生。通常反射可能发生在线末端,或者是互连线拓扑结构发生改变的地方,如拐角、过孔、T型结构、接插件等处。因此设计互连线的目的就是尽可能保持信号受到的阻抗恒定。      只要瞬态阻抗发生了改变,部分信号将沿着与原传播方向相反的方向反射,而另一部分将继续传播,但幅度有所改变。将瞬态阻抗发生改变的地方称为阻

2011-04-13 10:25:00 1415 1

原创 贴片电阻电容的封装形式及尺寸

    贴片电阻电容的常见封装有9种,用两种尺寸代码来表示,一种尺寸代码是由4为数字表示的EIA(美国电子工业协会)代码,前两位与后两位分表表示电阻的长和宽,以英寸为单位,比如我们常说的0805封装就是指英制EIA代码;另一种是米制代码,也是由4位数字表示,前两位与后两位也用来分表表示电阻的长和宽,其单位是毫米。  下图是贴片阻容元器件的示意图。  下表列出了英制和公制代码的关系及上图中的详细尺寸。公制(inch)英制(mm)电阻功率(W)L(mm)W(mm)H(mm)l1(mm)l2(mm)0603020

2011-02-16 08:23:00 1731 1

翻译 TI DSP软件开发工具简介

TI提供了一系列软件开发工具为TMS320C28xTMDSP提供支持,包括C/C++优化编译器、汇编器、链接器以及各种应用程序。TMS320C28x汇编语言开发工具包括:·汇编器;·归档器;·链接器;·绝对地址列表程序;·交叉引用列表程序;·十六进制转换应用程序。1.     软件开发工具概括下图1所示为TMS320C28x软件开发流程。阴影部分是最通用的开发过程。其他部分可选,是用于增强开发能力的外围功能。图1 TMS320C28x软件开发流程图2.     软件开发工具介绍下面简要介绍图1中的开

2010-12-20 18:21:00 1669

原创 281x上电引导顺序

<br />281x系列芯片是TI在24x基础上推出的C2000系列的DSP芯片,它配备的特定外设模块为电机控制提供了有力的支持。广泛用于电气的控制嵌入式领域。强大的功能,必然需要众多片上资源的支持,需要灵活的配置方式。281x芯片提供给我们多种不同的上电引导模式,以适应不同应用场合的需求。下面就来介绍。<br />总体来讲,281x是通过内部的bootloader引导程序配合几个特定的通用IO引脚来判断上电引导方式的。Bootloader位于芯片的Boot ROM中,是在芯片出厂时就写好了引导程序,除了引

2010-12-08 12:44:00 1204

原创 Verilog测试平台(testbench)技术(六)(完结)

7.随机的时间间隔    前面介绍了如何应用系统任务$random产生随机数据。本节讨论在测试平台中采用随机时间作为输入x赋值语句中的延迟。如下面描述的测试平台,其中用到$random产生延迟控制,对前面的1101序列检测器进行测试。测试平台中,命名为running的initial语句为电路产生适当的reset和start信号。在这个过程块中,由非阻塞赋值语句生成的时间延迟被作为绝对的时刻值。/*********************************************************

2010-12-04 22:06:00 850

原创 Verilog测试平台(testbench)技术(五)

<br /><br />6.交互式测试平台<br />在下面的几个测试平台里,我们采用了新的待测状态机,如下面代码所示。它是一个有开始(start)和复位(rst)控制的1101Moore序列检测器。它共有5个状态,在序列检测器的检测过程中,如果start的值变为0,那么状态机复位到初始状态。当前状态到达e状态时,输出为1。<br />/*************************************************************************/<br />//带开始(

2010-12-03 19:18:00 865

原创 Verilog测试平台(testbench)技术(四)

<br /><br />3.设置数据限制<br />    测试平台也可以不通过设置仿真时间限制来控制仿真时间,而是通过对MUT输入数据的数量进行设置来达到控制仿真时间的目的。同样,也可以停止仿真,避免其无限制地运行。<br />下面Verilog代码描述了moore_detector模块的第四中测试平台。这里采用$random对输入x生成随机数据。initial块里的repeat语句让时钟共执行13次变化,每5ns变化一次;而x共得到10个新数据,每隔7ns变化一次。这里采用随机信号代替固定的测试数据。这

2010-12-02 18:28:00 1389

原创 Verilog测试平台(testbench)技术(三)

<br /><br />二、测试平台技术<br />下面讨论许多有关测试激励生成与输出结果观测的代码编写技术。在这里待测模块我们使用一个如下描述的Moore状态机,它是一个101Moore序列检测器。当检测到输入序列为101时,当前状态值变为d,输出z的值为1。这是一个同步复位电路。<br />/*************************************************************************/<br />       `timescale1ns/

2010-12-01 18:58:00 790

原创 Verilog测试平台(testbench)技术(二)

<br />2.时序电路测试<br />   时序电路测试包括测试电路时钟与输入数据的同步。这里以一个名为misr的模块为例说明时序电路的测试方法。<br />misr模块描述的电路有一个输入时钟、一个复位信号、输入数据与输出数据。该电路有一个poly参数,用于计算让的输出值和数据压缩。每个时钟上升沿到来时,通过已有的misr寄存器数据和输入数据计算新的输出值。其Verilog描述如下。<br />/******************************************************

2010-12-01 11:30:00 874

原创 Verilog测试平台(testbench)技术(一)

    对设计进行功能仿真和时序仿真时,需要给待测模块提供激励输入。对于由Verilog语言描述的设计模块,最好的方法自然同样是用Verilog语言对待测模块施加激励和检测模块的输出响应。实际应用中,Verilog测试平台(testbench)就是用来提供上述功能的。Verilog测试平台是一个例化的待测(MUT)Verilog模块,给它施加激励并观测其输出。由于测试平台是用Verilog语言描述的,因此可以应用到不同的仿真环境中。待测模块和与之对应的测试平台组成一个仿真模型,应用这个模型可以在不同的测试环

2010-11-30 12:26:00 2056 1

原创 rail to rail(轨至轨)

      轨至轨(rail-to-rail)性能——轨至轨输出提供了最大的输出电压摆幅,实现了最宽的动态范围。在信号摆幅受到限制的低工作电压场合,轨至轨性能尤为重要。轨至轨输入性能通常用于缓冲(G=1)的单电源应用 中,以达到最大的信号摆幅。同时还可以用于其它的应用,具体取决于放大器增益及偏置电压方面的考虑 。  一般的运放输出的电压幅度是达不到电源电压的,会有1V左右的压差,而轨至轨(rail to rail)的运放 不一样,电源是多少,输出的最大幅度就能达到多少,还有是它的差分输入电压也能达到电源电压

2010-11-11 11:22:00 446

原创 关于testbench的概念

<br />    testbench是一种验证的手段。首先,任何设计都是会有输入输出的。但是在软环境中没有激励输入,也不会对你设计的输出正确性进行评估。那么此时便有一种,模拟实际环境的输入激励和输出校验的一种“虚拟平台”的产生。在这个平台上你可以对你的设计从软件层面上进行分析和校验,这个就是testbench的含义。<br />    初步认识<br />    就初学而言,testbench更像一个激励的产生器。举例:一个ram,可能有几个input和output。分别列在下面。   <br />   

2010-11-09 10:14:00 573

原创 ModelSim SE中Altera仿真库的添加

    在ModelSim中进行仿真需要加入Quartus提供的仿真库,原因是下面三个方面:    ·Quartus不支持Testbench;    ·调用了megafunction或者lpm库之类的Altera的函数;    ·时序仿真要在Modelsim下做仿真。    下面以Altera器件为例,介绍如何在ModelSim中加入Altera的仿真库,Quartus II软件中自带有Altera的仿真库,只要把它拿到ModelSim中去编译一下就可以了,具体步骤如下:1.设置仿真库路径    打开Mod

2010-11-08 16:26:00 2761

转载 ModelSim SE 6.5下载及安装步骤

1 ModelSim SE 6.5下载在ModelSim网站www.model.com下载ModelSim SE 6.5源文件,进入www.model.com后,进入DOWNLOADS,再进入Download Archives,在MODELSIM SE中选择版本,这里选择SE 6.5,进入请求下载的注册界面,简单注册一下,进入ftp,点击倒数第二个exe文件,下载源文件。点击倒数第一个文件,这是一个安装说明文件,也可以下载下来。2 安装ModelSim SE 6.5双击源文件ModelSim-win32-6

2010-11-08 11:12:00 1935

原创 关于安装MSDN时出现“/setup.exe不是有效的Win32应用程序。”问题的解决方法

<br />    我安装的MSDN版本是MSDN_OCT_2001,安装时出现错误:/setup.exe不是有效的Win32应用程序。解决方法如下。<br />    1.将安装文件目录 /MSDN_OCT_2001/x86/setup下的msdn.stf文件拷贝到/MSDN_OCT_2001下,并把msdn.stf文件改名为acmsetup.stf。<br />    2.将/MSDN_OCT_2001/x86/setup目录下所有文件拷贝到安装文件目录/MSDN_OCT_2001下。<br />   

2010-11-01 14:46:00 2127

原创 Verilg 2001相对于Verilog 1995的改进

<br />Verilog HDL作为描述电子电路行为和结构的一种语言,其实是一种IEEE标准(IEEE Std.1364-1995).在IEEE Std.1364-1995标准的基础上,又发展出了Verilog IEEE 1364-2001标准,简称Verilog 2001标准,它在完全兼容Verilog 1995标准的基础上,对Verilog 1995标准进行了增强,使Verilog HDL使用起来更加方便,功能更加强大,进一步适应现在大规模集成电路设计的需要。<br />    下面就分别介绍Ve

2010-10-28 14:22:00 2190 4

原创 印制电路板的电磁兼容性设计

<br />本文来源自:www.emcchina.com<br />中心议题:<br />  过高的工作频率或不合理的布局布线是引起电磁干扰的主要原因。<br />  综合分析PCB的抗干扰设计。 <br /> <br />解决方案:<br />  注意整体布局5项原则 <br />  优先选用集成电路元器件,元器件按一定的方式分组布置。<br />  在交流电源的进线处安置滤波器,EMC设计关键是合理布局时钟系统。<br />  设计输入电压保持电容,静电防护设计考虑4方面因素。<br /> <br />

2010-10-28 08:51:00 576

原创 Verilog HDL 基本语法注意点

      case和if...else语句使用:在多个条件分支处于同一个优先级时,使用case语句;在多个条件分支处于不同优先级时,使用if...else嵌套形式。     关于条件操作符:在处理简单的二选一问题时,条件操作符的表述比较简洁,但在处理复杂的选择问题时,使用条件操作符会使程序显得混乱而且难懂,所以不推荐使用条件操作符实现复杂的条件结构。    几种循环语句的比较:      repeat:循环次数固定      while:      for:      forever:无穷循环,如果想要推

2010-10-27 15:47:00 2998

原创 Verilog HDL 阻塞赋值语句和非阻塞赋值语句

<br />      阻塞赋值语句和非阻塞赋值语句都属于过程赋值语句,是用来对寄存器型变量赋值的方法。阻塞赋值使用“=”为变量赋值,在赋值结束以前不可以进行其它操作,在赋值结束后继续后面的操作。这个过程好像阻断了程序的运行,因而被称为阻塞赋值。连续的阻塞赋值操作是顺序完成的;非阻塞赋值使用“<=”为变量赋值,在执行到赋值语句时,仅仅对“<=”右侧的表达式的值进行评估,但并不马上执行赋值,然后继续执行后面的操作。这个过程好像没有阻断程序的运行,因而被称为非阻塞赋值。连续的非阻塞赋值操作是同时完成的。<br

2010-10-27 12:17:00 1730 1

翻译 CDaoDatabase类及其成员函数

CDaoDatabase类简介    CDaoDatabase是MFC中的类。类CDaoDatabase代表了一个数据库连接。使用它可以操纵数据库中的数据。有关支持的数据库格式,请参阅成员函数GetName。在一个给定工作区(由CDaoWorspace对象代表)中,同时可以有多个活动的CDaoDatabase对象。工作区维护了一组开放数据库对象——数据库连接。    注意:MFC DAO数据库类与那些基于ODBC的MFC数据库类是不同的。所有的DAO数据库类的名字都有CDao前缀。类CDaoDatabase

2010-10-08 21:37:00 2720

转载 工程师做热设计不得不注意的若干事项

文章来源:OFweek电子工程网  导读: 在调试或维修电路的时候,我们常提到一个词“××烧了”,这个××有时是电阻、有时是保险丝、有时是芯片,可能很少有人会追究这个词的用法,为什么不是用“坏”而是用“烧”?其原因就是在机电产品中,热失效是最常见的一种失效模式....       在调试或维修电路的时候,我们常提到一个词“××烧了”,这个××有时是电阻、有时是保险丝、有时是芯片,可能很少有人会追究这个词的用法,为什么不是用“坏”而是用“烧”?其原因就是在机电产品中,热失效是最常见的一种失效模式,电流过载,局

2010-10-08 16:57:00 402

转载 JET数据库引擎

<br />        引擎(engine)能够决定程序管理和数据操作的程序或程序段。数据库引擎就是操作数据库的一段程序或程序段。 <br /><br />  Microsoft Jet是Microsoft Access和Visual Basic使用的一种数据库引擎。在DAO3.1版本以前,数据访问对象DAO(data access object)被定义为“Microsoft Jet引擎的编程接口”,也就是说,DAO和Jet在历史上几乎是同义词。DAO访问数据库都要通过Microsoft Jet数据库引

2010-10-08 11:36:00 270

转载 CListCtrl类简介和使用技巧

<br />CListCtrl类简介<br />CListCtrl类封装“列表视图控件”功能,显示每个包含图标(列表视图中)和标签的收集。除图标和标签外,每一项还能有显示在图标和标签的右边的列中的信息。此控件(以及CListCtrl类)只适用于运行于Windows 95和Windows NT 3.51及更高版本的程序。<br />下面是CListCtrl类的主要概况。对于详细的、概念性的讨论,请参阅联机文档“Visual C++程序员指南”中的“使用ClistCtrl”和“控件主题”。<br /> <br

2010-10-07 14:12:00 1036

原创 耦合电容和旁路电容

<br />在电子电路中,去耦电容和旁路电容都是起到抗干扰的作用,电容所处的位置不同,称呼就不一样了。<br />对于同一个电路来说,旁路(bypass)电容是把输入信号中的高频噪声作为滤除对象,把前级携带的高频杂波滤除,而去耦(decoupling,也称退耦)电容是把输出信号的干扰作为滤除对象。<br />    在供电电源和地之间也经常连接去耦电容,它有三个方面的作用:一是作为本集成电路的蓄能电容;二是滤除该器件产生的高频噪声,切断其通过供电回路进行传播的通路;三是防止电源携带的噪声对电路构成干扰。<b

2010-09-28 22:09:00 2874 1

原创 PCB板电流和布线宽度的关系

<br />铜皮的厚度<br />35UM                         50UM              70UM<br />宽度     电流       宽度      电流      宽度      电流<br />0.15       0.20       0.15       0.50       0.15       0.70<br />0.20       0.55       0.20       0.70       0.20       0.90<br />0.30

2010-09-28 21:54:00 758

原创 磁珠、电感的原理与应用

磁珠的原理:            磁珠的主要原料为铁氧体。铁氧体是一种立方晶格结构的亚铁磁性材料。铁氧体材料为铁镁合金或铁镍合金,它的制造工艺和机械性能与陶瓷相似,颜色为灰黑色。电磁干扰滤波器中经常使用的一类磁芯就是铁氧体材料,许多厂商都提供专门用于电磁干扰抑制的铁氧体材料。这种材料的特点是高频损耗非常大,具有很高的导磁率,他可以是电感的线圈绕组之间在高频高阻的情况下产生的电容最小。对于抑制电磁干扰用的铁氧体,最重要的性能参数为磁导率μ和饱和磁通密度Bs。磁导率μ可以表示为复数,实数部分构成电感,虚数部分

2010-09-28 12:49:00 429

原创 double string

浮点型转化为字符串类型函数:char *_gcvt(double value,int digits,char *buffer)code in my example:double PI = 3.1415926;char *strTemp = new char;_gcvt(PI,10,strTemp);m_SerialPort.WriteToPort((LPCTSTR)strTemp);字符串型转化为浮点型函数:double strtod(const char *nptr, char **endptr);cod

2010-09-26 12:41:00 349

转载 Assert是你的朋友[摘录]

<br /><br />      在C++的消息公告板上经常可以看到出现ASSERT错误的求助信息。虽然这通常是希望消除ASSERT错误的帮助请求,但是几乎所有的求助者都认为ASSERT本身是罪恶的。我完全能理解一个ASSERT错误给程序员新手带来的沮丧。你的程序正在运行,通常如你所愿,突然一声巨响——一个ASSERT错误!<br />      那么就让我们来看看ASSERT们,为什么他们会出现在那里以及我们能从他们那里得到什么信息。我应该强调一下,这篇文章讨论MFC如何处理ASSERT。<br />打

2010-09-21 14:25:00 197

翻译 TI DSP位域寄存器文件(Bit Field and Register-File Structure)结构

<br />很多初学者往往对TI DSP的大量的寄存器定义和组织形式感到迷茫,我从TI文档中翻译了这篇文章,希望能对初学者有所帮助。<br /> <br />以往寄存器定义一般用宏定义。例如:<br />/********************************************************************<br />* Traditional header file<br />************************************************

2010-09-21 09:02:00 1185

转载 Protel DXP 快捷键

<br />本文转自它处,文中网络链接地址即是出处,特此声明。<br />TAB:选中元件后,可以显示该元件的属性;<br />PAGEUP:以鼠标所在点为中心,放大视图;<br />PAGEDOWN:以鼠标所在点为中心,缩小视图;<br />HOME:居中,可以从原来光标下的图纸位置,移位到工作区中心位置显示;<br />END:更新绘图区的图形;<br />四个方向键:用于逐步往各个方向移动;  <br />F——U:打印设置;<br />F——P:打开打印机;<br />F——N:新建文件<br />

2010-09-21 08:50:00 559 1

原创 集成芯片封装标准及术语

<br />以下是70种IC封装名称及其标准:<br />1、BGA(ball grid array)<br />球形触点陈列,表面贴装型封装之一。在印刷基板的背面按陈列方式制作出球形凸点用以代替引脚,在印刷基板的正面装配LSI 芯片,然后用模压树脂或灌封方法进行密封。也称为凸点陈列载体(PAC)。引脚可超过200,是多引脚LSI 用的一种封装。封装本体也可做得比QFP(四侧引脚扁平封装)小。例如,引脚中心距为1.5mm的360 引脚BGA 仅为31mm见方;而引脚中心距为0.5mm的304 引脚QFP 为

2010-09-21 08:46:00 707

ACAD_Elec_2009_UserGuide.pdf

AutoCAD Electrical 2009中文用户手册。 “AutoCAD Electrical 帮助”概述 “AutoCAD Electrical 帮助”系统是基于浏览器的系统,可通过上下文相关链接来 访问,也可以通过“帮助”菜单或图标对其进行访问。该“帮助”系统的主要特征 包括: ■ 可以通过F1 功能键、菜单、对话框和菜单栏进行按需访问。 ■ 每个主题中的导航选项卡都链接到相关的操作步骤、参考和概念。 ■ 您可以通过“帮助”菜单访问AutoCAD Electrical 帮助、AutoCAD Electrical 快速启动窗、新功能专题研习以及其他资源。

2019-10-28

SQL Server 2000看图教程(PDF格式)

SQL Server 2000看图教程,详细介绍SQL Server 2000的安装、配置、使用。

2010-08-27

组态软件设计与开发(源代码).rar

本书介绍使用Visual C++开发一个实用的组态软件系统,并按照组态软件的基本结构完成开发的全过程。内容包括组态软件的基本概念、组态软件HMI矢量绘图、网络实时通信、语音报警、串口通信、数据库访问、ActiveX控件开发及联机帮助设计等。

2010-08-27

Visual C++ 6.0 用户界面制作技术与应用实例

Visual C++ 6.0 用户界面制作技术与应用实例,讲解在Visual C++下的界面编程技巧,多个实例让你全面掌握Visual C++界面编程。

2010-08-26

VC编程技巧280例

VC编程技巧280例,众多有用的编程经典实例。用处多多。。。。。。。。

2010-08-26

Visual BASIC程序设计.pdf

讲解Visual BASIC基本操作,程序设计方法等等,适合初级读者学习使用。

2010-08-26

嵌入式实时操作系统ucos-II(第2版).part4

嵌入式实时操作系统ucos-II的经典书籍,讲解ucos-II的设计与实现。

2010-08-26

嵌入式实时操作系统ucos-II(第2版).part3

嵌入式实时操作系统ucos-II的经典书籍,讲解ucos-II的设计与实现。

2010-08-26

嵌入式实时操作系统ucos-II(第2版).part2

嵌入式实时操作系统ucos-II的经典书籍,讲解ucos-II的设计与实现。

2010-08-26

嵌入式实时操作系统ucos-II(第2版).part1

嵌入式实时操作系统ucos-II的经典书籍,讲解ucos-II的设计与实现。

2010-08-26

嵌入式实时操作系统ucos-II(第2版)源代码

嵌入式实时操作系统ucos-II的经典书籍,讲解ucos-II的设计与实现。

2010-08-26

Visual C++串口通信工程开发实例导航(源代码)

Visual C++串口通信工程开发实例导航源代码: 第1章 串口实现双机互联 第2章 串口编程调试精灵 第3章 云台镜头控制系统 第4章 PC与PDA数据交互系统 第5章 GPS数据采集程序 第6章 楼宇自控系统 第7章 智能安防报警系统 第8章 语音自动应答系统

2010-08-25

VC++深入详解源代码

VC++深入详解源代码,在VC++6.0上调试通过。

2010-04-14

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除