verilog入门经验(五)-- 并转串

      并转串电路主要由时钟(clk)、复位信号(rst)、并行输入信号(pdin)、串行输出信号(sdout)和使能信号(en)组成。

      其它信号都是名字意义,现在主要讲下使能信号。使能信号表示开始执行并转串操作,由于并转串是移位操作,当一次并转串完成后,需要重新载入待转换的并行数据时,使能信号要再起来一次。

      下面是souce driver COB测试程序中用到的并转串实例,这个例子中多了一个width_sw信号,用来区分8bit/6bit输入并行数据。本例子中是从并行输入数据的低为开始转换的。

module p2s (
	    pdin,
	    sdout,
	    en,
		 width_sw,

	    clk,
	    rstn
	    );

   input	[7:0]	pdin;		// !!!共8bit
   output		sdout;
   input		en;
	input		width_sw;

   input		clk;
   input		rstn;

   

   reg [6:0] 		tmp;		// !!!共7bit
   reg sdout;

   always @ ( posedge clk or negedge rstn )
     if ( !rstn )
       {tmp, sdout} <= 0;
     else 
		if ( en )		// start p2s
			{tmp, sdout} <= pdin;
		else	
			begin
				if( width_sw )
					{tmp, sdout} <= {'b0, tmp};
				else
					{tmp[4:0], sdout} <= {'b0, tmp[4:0]};
			end
         
   

endmodule // p2s

 

如果是将一个固定的并行数据一直做串行转换输出,那么可以如下所写:

 always @ ( posedge clk or negedge rstn )
     if ( !rstn )
       {tmp, sdout} <= 0;
     else
  if ( en )  // start p2s
   {tmp, sdout} <= pdin;
  else 
   begin
    if( width_sw )
     {tmp, sdout} <= {tmp[0], tmp};
    else
     {tmp[4:0], sdout} <= {tmp[0], tmp[4:0]};
   end

将并转串的移位操作改为循环操作,此时使能信号不用周期性起来,只要在开始转换时起来一次即可。

  • 12
    点赞
  • 61
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
以下是一个Verilog代码示例,用于将并行数据转换为串行数据: ```verilog module ParallelToSerial ( input wire clk, input wire rst_n, input wire \[7:0\] data, input wire enable, output wire serial_data ); reg \[7:0\] data_reg; reg \[2:0\] count; reg serial_data_reg; always @(posedge clk or negedge rst_n) begin if (!rst_n) begin data_reg <= 8'b0; count <= 3'b0; serial_data_reg <= 1'b0; end else if (enable) begin if (count == 3'b111) begin data_reg <= data; count <= 3'b000; serial_data_reg <= 1'b0; end else begin data_reg <= data_reg << 1; count <= count + 1'b1; serial_data_reg <= data_reg\[7\]; end end end assign serial_data = serial_data_reg; endmodule ``` 这个Verilog模块名为ParallelToSerial,它有一个时钟信号clk,一个复位信号rst_n,一个8位的并行数据输入data,一个使能信号enable,以及一个串行数据输出serial_data。在时钟上升沿或复位信号变为低电平时,根据使能信号的状态,将并行数据转换为串行数据。转换后的串行数据在serial_data输出端口上。 请注意,这只是一个示例代码,具体的实现可能会根据具体的需求和设计约束而有所不同。 #### 引用[.reference_title] - *1* *2* [05-Verilog学习-S_ 串/并数据相互转化](https://blog.csdn.net/weixin_43499278/article/details/104729200)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [verilog并行数据转换为串行输出](https://blog.csdn.net/weixin_61892350/article/details/130260117)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值