JTAG 基础和svf specification介绍

参考:

https://www.youtube.com/watch?v=UuDf3q5aBjM

https://zh.m.wikipedia.org/zh-cn/JTAG


浅谈dft之boundary scan

JTAG: Joint Test Action Group是开发IEEE 1149.1的工作组,1149.1定义了一个测试开发版上芯片的标准。现在变成了芯片的一个最常见的接口,用途很多比如FPGA/CPLD image下载,芯片测试和访问。

板子上一般是菊花链的形式连接

  1. TDI(测试数据输入)
  2. TDO(测试数据输出)
  3. TCK(测试时钟)
  4. TMS(测试模式选择)
  5. TRST(测试复位)可选。

基本的想法是给每个chip加一个测试wrapper,这个wrapper遵守JTAG协议。这个Wrapper由以下三部分组成:

  • 每个输入输出引脚都加一个边界扫描cell
  • 有些额外的pin脚来连接这个wrapper
  • wrapper里面有一些额外的寄存器

然后定义一些可以写到设备的指令。

这个TAP是指Test access port,TAP控制器是一个有16个状态的有限状态机。

 

SVF

为了更方便的利用JTAG1149.1去做测试,业界开发了SVF协议,serial vector format。它其实是一种描述jtag总线操作的一种语言。利用这种语言我们可以定义一种测试的流程,然后在整个芯片设计和量产的整个生命周期中去使用这个流程。从而方便验证芯片的功能,比如MBIST测试之类的。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
JTAG (Joint Test Action Group) 是一种用于测试和编程电子设备的标准接口。在 Verilog 中,可以使用 JTAG 接口来进行芯片级别的测试和调试。以下是一个简单的 JTAG 模块的 Verilog 代码示例: ``` module jtag ( input jtag_clk, input jtag_rst_n, input jtag_tms, input jtag_tdi, output jtag_tdo ); // JTAG state machine reg [2:0] jtag_state; always @(posedge jtag_clk or negedge jtag_rst_n) begin if (~jtag_rst_n) begin jtag_state <= 3'b000; // Test-Logic-Reset state end else begin case (jtag_state) 3'b000: begin // Test-Logic-Reset state jtag_state <= jtag_tms ? 3'b001 : 3'b000; end 3'b001: begin // Run-Test-Idle state jtag_state <= jtag_tms ? 3'b010 : 3'b001; end 3'b010: begin // Select-DR-Scan state jtag_state <= jtag_tms ? 3'b011 : 3'b010; end 3'b011: begin // Capture-DR state jtag_state <= jtag_tms ? 3'b100 : 3'b011; end 3'b100: begin // Shift-DR state jtag_state <= jtag_tms ? 3'b101 : 3'b100; end 3'b101: begin // Exit1-DR state jtag_state <= jtag_tms ? 3'b110 : 3'b101; end 3'b110: begin // Pause-DR state jtag_state <= jtag_tms ? 3'b111 : 3'b110; end 3'b111: begin // Exit2-DR state jtag_state <= jtag_tms ? 3'b001 : 3'b111; end endcase end end // JTAG shift register reg [7:0] jtag_shift; always @(posedge jtag_clk or negedge jtag_rst_n) begin if (~jtag_rst_n) begin jtag_shift <= 8'h00; end else begin case (jtag_state) 3'b100: begin // Shift-DR state jtag_shift <= {jtag_shift[6:0], jtag_tdi}; end 3'b101: begin // Exit1-DR state jtag_shift <= {jtag_shift[6:0], jtag_tdi}; end 3'b111: begin // Exit2-DR state jtag_shift <= {jtag_shift[6:0], jtag_tdi}; end endcase end end // JTAG output assign jtag_tdo = jtag_shift[7]; endmodule ``` 该模块包含 JTAG 时钟(`jtag_clk`)、复位信号(`jtag_rst_n`)、状态机输入信号(`jtag_tms`)、数据输入信号(`jtag_tdi`)和数据输出信号(`jtag_tdo`)。JTAG 状态机根据输入信号 `jtag_tms` 的值进行状态转移,并将数据输入 `jtag_tdi` 传输到一个 8 位移位寄存器中。最后,数据输出 `jtag_tdo` 从移位寄存器的 MSB 输出。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值