SystemVerilog相关的100个问题及解答

[ SV 001 ]SystemVerilog相比Verilog多了哪些数据类型?[ SV 002 ]SystemVerilog引入了哪几个2-state数据类型?4值逻辑变量赋值给2值逻辑变量时,高阻态Z和不定态X怎么处理?反之,又怎样处理?[ SV 003 ]假设有一个fixed-size数组a,定义如下:int a [100];用SystemVerilog写一段数组初...
摘要由CSDN通过智能技术生成

[ SV 001 ] SystemVerilog相比Verilog多了哪些数据类型?

[ SV 002 ] SystemVerilog引入了哪几个2-state数据类型?4值逻辑变量赋值给2值逻辑变量时,高阻态Z和不定态X怎么处理?反之,又怎样处理?

[ SV 003 ] 假设有一个fixed-size数组a,定义如下:

int a [100]; 

用SystemVerilog写一段数组初始化代码,要求a[0] = 1,a[99] = 2,其它均为3。

[ SV 004 ] 解释{1, 2, 3, 4}与'{1, 2, 3, 4}的区别。

[ SV 005 ] 用foreach遍历并打印二维数组int a[2][3],如果只想遍历第二维怎么办?

[ SV 006 ] 解释packed数组和unpacked数组的区别?什么时候该用packed数组?

[ SV 007 ] 动态数组相比定长数组有什么优势?

[ SV 008 ] 二维动态数组如何new?

[ SV 009 ] 动态数组何时delete()?

[ SV 010 ] 队列queue内置了哪些操作函数?

[ SV 011 ] 队列queue应用在哪些场景?

[ SV 012 ] 下面两种queue的初始化,哪一个是正确的?

int q1[$] = '{ 0, 1, 2}
int q1[$] = {
0, 1, 2}

[ SV 013 ] 对于“关联数组能节省内存”怎么理解?

[ SV 014 ] 列举几种字符串索引的关联数组的应用?

[ SV 015 ] 对数组byte a[] = '{1, 2, 3, 51, 52, 53}中大于50的数求和。

[ SV 016 ] 对数组byte a[] = '{1, 2, 3, 4, 5, 6}乱序。

[ SV 017 ] 用packed structure定义下面的数据包。

31:23

22:15

14:7

6:0

header

cmd

data

crc

[ SV 018 ] 用unpacked structure对一幅图像建模。

[ SV 019 ] 用枚举类型定义消息出错等级,比如info=0, warn=1, err=2, fatal=3。

[ SV 020 ] 假设有测试图片库1920x1080_1.bmp ~ 1920x1080_100.bmp,利用字符串string的一些操作方法来随机生成一幅图片的文件名。

[ SV 021 ] 下面task的参数a和c的方向是输入还是输出?

task mytask(bit [7:0] a, output [3:0] b, c);
endtask

[ SV 022 ] function的参数如何传递动态数组?

[ SV 023 ] 当task的参数用ref来传递数组时,如果task内部修改了数组的值,task外部是否能立即看到数组被修改,还是要等task执行完才能看到?

[ SV 024 ] 下面的function是否有语法错误?

function bit [8:0] myfunc(bit [7:0] a, bit [7:0] b);
  $display("add");
  bit [8:0] sum;
  sum = a + b;
  return sum;
endfunction

[ SV 025 ] function中的automatic有什么作用?

[ SV 026 ] 写一个函数实现递增的功能,默认递增的step是1,也可以指定step为其它值。

[ SV 027 ] 下面的代码是否有bug?

function 

  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值