时序逻辑电路的复位信号

1.时序电路的同步复位

时序电路的同步复位是指当电路的复位信号有效并且在时钟信号的边沿到来时,时序电路就进行复位操作,VHDL语言中描述时序电路的同步复位时,一定是在以时钟信号为敏感信号的进程中定义,同时采用IF语句描述复位条件。

process( clock_signal )
begin 
    if( clock_signal_condition) then 
        if( synchronization_reset_condition) then 
            ...
        end if;
    end if;
end process;

2.时序电路的异步复位
时序电路的异步复位也称为非同步复位,是指当时序电路的复位信号有效时,该时序电路模块就立即进行复位操作,而不管此时时钟信号的边沿是否到来。在VHDL语言中使用异步复位电路,首先在进程的敏感信号列表中必须同时包含时钟信号与复位信号,其次是采用IF语句描述复位条件,然后采用ELSIF子句描述时钟信号的边沿条件。

process( clock_signal, asynchronous_reset_signal)
begin
    if( asynchronous_reset_condition ) then 
        ...
    elsif( clock_signal_condition ) then 
        ...
    end if;
end process;
  • 2
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值