vivado仿真遇到的问题

Vivado仿真包含DDR的工程,还没到设置时间就停止仿真

查看工程界面下面的Tcl console信息栏发现有报错
ERROR:Memory overflow.
Write to Address 008000 with Data xxxxxxxxxxxxxxxx0004000500060007 will be lost. You must increase the MEM_BITS parameter or define MAX_MEM.
报错原因:
这个表示DDR memory行为级模型出现溢出,也就是DDR内存不足了。因为ddr3_model_parameters.vh文件中的MEM_BITS过小导致,这个值越大DDR存储深度越大。一般默认值为10,我的工程DDR memory行为级模型这个值默认为15,则最多仅可写入2^15 = 32768 = 'h 8000个数据(即地址0-'h7fff),刚刚好和报错的Write to Address 008000出错一致。
解决方法:
在ddr3_model_parameters.vh文件中, 修改MEM_BITS位宽参数,比如修改为20。
ddr3_model_parameters.vh文件位置:…\mig_7series_0_ex\imports(或者在工程文件夹里面搜索即可)

  • 2
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值