自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(29)
  • 资源 (1)
  • 收藏
  • 关注

原创 VivadoAndTcl: read_checkpoint and open_checkpoint

介绍了read_checkpoing和open_checkpoint的区别

2024-01-03 17:00:40 702

原创 VivadoAndTcl: get_cells

介绍了get_cells的用法

2024-01-03 16:58:23 606

原创 VivadoAndTcl: synth_design

介绍综合命令synth_design

2023-11-24 09:26:56 770

原创 VivadoAndTcl: date

介绍在tcl脚本中使用date

2023-11-24 09:25:50 139

原创 VivadoAndTcl: eval

介绍eval命令

2023-11-23 08:56:46 178

原创 VivadoAndTcl: generate_target

介绍generate_target

2023-11-23 08:55:05 129

原创 VivadoAndTcl: opt_design

本文介绍opt_design的功能

2023-11-22 09:56:44 550

原创 VivadoAndTcl: 中括号[]、大括号{}和双引号““

本文介绍中括号、大括号和双引号的作用

2023-11-22 09:52:18 276

原创 VivadoAndTcl: foreach

介绍命令foreach

2023-11-21 14:31:30 198

原创 VivadoAndTcl: read_xdc

介绍read_xdc命令

2023-11-21 14:29:42 99

原创 VivadoAndTcl: namespace

介绍Tcl脚本里的命名空间namespace

2023-11-20 11:06:18 244

原创 VivadoAndTcl: synth_ip

介绍synth_ip命令

2023-11-20 11:03:36 116

原创 VivadoAndTcl: read_ip

介绍read_ip命令

2023-11-17 16:01:50 120

原创 VivadoAndTcl: read_verilog

介绍read_verilog命令

2023-11-17 15:57:35 233

原创 xilinx ila使用问题记录

在ila的实际使用过程中,出现了一个问题就是添加了这个ila后,将数据改变了,查看ila的ip生成界面发现input pipe stages为0,而输入的信号有些是非寄存器的信号,所以对于这种情况将input pipe stages设置为1比较好...

2021-09-08 14:26:22 929

原创 vivado仿真崩溃后,C盘空间被大量占用的解决办法

在升级vivado 2021版本后,仿真经常容易出现崩溃的现象,就是跑着跑着图形界面挂掉了,这时候查看进程是可以看到还是有vivado进程在运行的,但是跑着跑着就会出现C盘空间不够了的情况,这个时候去这条路径下找大文件删掉即可。C:\Users\******\AppData\Local\Temp******是自己个人的用户名,注意AppData中间不带空格,还有一个带空格的AppData。...

2021-07-29 14:12:05 6448 1

原创 vivado仿真脚本生成

在做算法验证过程中,当需要验证的数据量特别大时,相信大部分开发者均不会再选择使用波形文件一个一个验证,那样几乎不可能完成任务,因此使用vivado生成仿真脚本,将激励生成和结果验证都写好使整个过程自动化就显得尤为重要。vivado提供了方便的仿真脚本生成,选择File-->Export-->Export Simulation,在打开的对话框中,目标仿真器选择vivado simulator,然后选择输出目录即可。使用脚本过程中,细心的朋友会发现仿真过程中会生成一个叫testbench.w

2021-07-02 15:23:57 1955 1

原创 [HDLBits] Vectors practise

Vectors题目Vectors are used to group related signals using one name to make it more convenient to manipulate. For example, wire [7:0] w; declares an 8-bit vector named w that is functionally equivalen...

2020-03-12 18:19:51 366

原创 [HDLBits] Basics practise

Practise 1: Simple Wire题目:Create a module with one input and one output that behaves like a wire.Unlike physical wires, wires (and other signals) in Verilog are directional. This means information ...

2020-03-11 17:31:36 553

原创 [verilog] 软核,硬核,固核的定义

软核的定义把功能经过验证的、可综合的、实现后电路结构总门数在5000门以上的Verilog HDL模型称为软核。硬核的定义把在某一种专用集成电路工艺的(ASIC)器件上实现的、经验证证明是正确的、总门数在5000门以上的电路结构版图掩膜称为硬核。固核的定义把在某一种现场可编程门阵列(FPGA)器件上实现的、经过验证证明是正确的、总门数在5000门以上的电路结构编码文件称为固核。...

2020-03-10 22:08:36 654

原创 Matlab矩阵操作相关题目

题目1设有矩阵5x3的矩阵A,将A矩阵的右下角的3x2的子矩阵赋给B>> A = [1,2,3; 4,5,6; 7,8,9; 10,11,12; 13,14,15];>> B = A(3:5,2:3);#题目2求[100,999]之间能被21整除的数的个数>> a = 100:1:999;>> b = rem(a,21);>&...

2020-02-22 22:15:07 2328

原创 Matlab里的子函数、内联函数、匿名函数

子函数在Matlab中,可以把多个函数的定义放在一个函数文件中,这些函数中,第一个出现的为主函数,其他的函数均为子函数,需要注意的是,子函数只能被同一个函数文件中的函数调用。在保存函数文件的时候,函数文件名一般保持和主函数名相同,且外部程序只能对主函数进行调用。下面距离说明。function d=add2(a,b,c) % d=a*b+c d=mult2(a,b)+c;endfunc...

2020-02-15 12:27:51 1265

原创 Matlab矩阵的相关操作

冒号的用法举例说明:A(end, :)代表矩阵A的最后一行A(:, end)代表矩阵的最后一列这里,end代表最后的元素空矩阵的用法建立一个空矩阵A = []空矩阵可以用来删除矩阵里的元素A = [1,2,3,0,0; 7,0,9,2,6; 1,4,-1,1,8];A(:, [2,4]) = [];执行命令后矩阵A为A =1 3 07 9 61 -...

2020-02-12 23:20:36 1701

原创 [verilog] 八位比较器

八位比较器module code:module compare_8bit(equal, a, b); input [7:0]a, b; output equal; reg equal; always @(a or b) if(a > b) equal = 1; else equal = 0;endmodule always块中必须要用reg型变量,所...

2019-03-12 22:35:12 19264 6

原创 winscp出现拒绝了SFTP连接,但它监听FTP连接错误

winscp出现拒绝了SFTP连接,但它监听FTP连接错误出现这种情况的原因是未安装sshd.先安装"sudo apt-get install yum"输入 "sudo apt-get install openssh-server" 然后输入 "y"输入"sudo ps -e |grep ssh"-->回车-->有sshd,说明ssh服

2018-01-30 15:35:32 5872

原创 ubuntu 16.04安装sublime

ubuntu 16.04安装sublime先下载:32-bit:wget http://c758482.r82.cf2.rackcdn.com/Sublime\ Text\ 2.0.2.tar.bz2tar vxjf Sublime\ Text\ 2.0.2.tar.bz264-bit:wget http://c758482.r82.cf2.rackcdn.com/Sublime\

2018-01-30 15:33:58 714

转载 windows 10安装opencv(vs版本为2015)

windows安装opencv+vs2015win10系统+opencv3.2+vs2015配置安装opencv教程首先下载opencv安装包,然后选择路径进行安装,并安装vs2015,注意opencv中是有和vs版本对应的库的,vc14对应的就是vs2015。然后鼠标右击我的电脑,点击属性选项->高级系统设置->高级->环境变量,在系统变量中选中Path变量,新建,输入”

2018-01-30 15:31:11 654

转载 linux(ubuntu16.04)安装opencv

在linux下安装opencv过程1、安装cmake和一些依赖库 sudo apt-get install cmakesudo apt-get install build-essential libgtk2.0-dev libavcodec-dev libavformat-dev libjpeg.d

2018-01-30 15:29:29 2034

转载 ubuntu 16.04 + caffe + cudnn + cuda8.0 + nvidia驱动

ubuntu 16.04 + caffe + cudnn + cuda8.0 + nvidia驱动一、首先安装各种依赖文件 sudo apt-get install libprotobuf-dev libleveldb-dev libsnappy-dev libopencv-dev libhdf5-se

2018-01-30 15:28:09 228

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除