Quartus II 13.1 RTL视图bit反向问题

Quartus II 13.1 RTL视图bit反向问题

结论

Quartus-13.1.0.162,这是默认安装版本有问题。
Intel® Quartus® II Software v13.1 Update 2:Quartus-13.1.2.173 直到这个版本之后才解决这个bug。

解决方案

官方软件升级链接

问题描述

官方论坛反馈的Bug链接
CSDN网友的问题

`timescale 1ns/1ns
module Counter_Design
(
    //global clock
    input               clk,    //50MHz 
    input               rst_n,

    //user interface
    output  reg [3:0]   cnt
);

//----------------------------
//Counter for 4 bit data
always@(posedge clk or negedge rst_n)
begin
    if(!rst_n)
        cnt <= 0;
    else
        cnt <= cnt + 1'b1;
end

endmodule

请添加图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值