结论
Quartus-13.1.0.162,这是默认安装版本有问题。
Intel® Quartus® II Software v13.1 Update 2:Quartus-13.1.2.173 直到这个版本之后才解决这个bug。
解决方案
问题描述
`timescale 1ns/1ns
module Counter_Design
(
//global clock
input clk, //50MHz
input rst_n,
//user interface
output reg [3:0] cnt
);
//----------------------------
//Counter for 4 bit data
always@(posedge clk or negedge rst_n)
begin
if(!rst_n)
cnt <= 0;
else
cnt <= cnt + 1'b1;
end
endmodule