在Quartus II 13.1里RTL视图问题

我在Quartus II 13.1写了一个加法器,程序如下

`timescale 1ns/1ns
module Counter_Design
(
    //global clock
    input               clk,    //50MHz 
    input               rst_n,

    //user interface
    output  reg [3:0]   cnt
);

//----------------------------
//Counter for 4 bit data
always@(posedge clk or negedge rst_n)
begin
    if(!rst_n)
        cnt <= 0;
    else
        cnt <= cnt + 1'b1;
end

endmodule

所生成的RTL视图如下:
这里写图片描述

在加法器中cnt的值应每次加一,而在RTL视图中出现了B[3:0]为4’h8的情况,本以为这里应为4’h1。后来经过细致观察发现,点开RTl视图左边的B[3:0]属性发现 B[0]位为高,其他位为低,因此推断B在这里应为一个选择信号,并不是cnt要加的数,B[3..0]的4’h8只是选择cnt的第0位加1。
这里写图片描述

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
Quartus II 13.1是由Altera(现已被英特尔收购)开发的一款集成电路设计软件,用于设计和验证数字逻辑电路。它提供了一套强大的工具和资源,用于设计、仿真和验证复杂的数字逻辑电路。 Quartus II 13.1拥有丰富的资源,使设计工程师能够开发高性能、低功耗和高可靠性的电路。其主要特点包括: 1. 设计实现工具:Quartus II 13.1提供了先进的设计实现工具,支持基于原理图和HDL(硬件描述语言)的设计流程。它具有功能强大的综合器,支持VHDL和Verilog等常用的HDL语言。 2. 时序分析和时钟设计:Quartus II 13.1允许设计工程师进行全面的时序分析,并提供了高的约束管理工具。此外,它还支持多个时钟域的设计,使工程师能够精确控制电路的时序特性。 3. 逻辑优化和布局布线:Quartus II 13.1提供了强大的逻辑优化和布局布线功能,以实现最佳的性能和功耗平衡。它能够智能地将逻辑元件进行合并和优化,并生成最优化的布线方案。 4. 仿真和调试:Quartus II 13.1集成了ModelSim仿真工具,可以对电路进行有效的仿真和调试。它提供了丰富的仿真特性,如信号波形显示、事件触发和调试器等,可帮助工程师快速定位和解决问题。 总结来说,Quartus II 13.1是一款功能强大的集成电路设计软件,提供了丰富的工具和资源,具备高性能、低功耗和高可靠性的设计能力。它广泛应用于各种数字逻辑电路的设计和验证,有助于设计工程师提高工作效率并实现优秀的电路设计。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值