一 makefile文件
test:
export t=${name}
@echo $t
二 shell命令
make test -name xxx
上述的命令就是将xxx传入到t变量中,然后再打印出来
三 makefile中不能有带#的注释
all:comp sim
comp:
vcs -full64 -debug_acc+all -ntb_opts uvm -timescale=1ns/1ns -sverilog -l comp.log \
+define+DUMP_FSDB=1 \
-f /xxx/xxx
@echo "***********************************************"
@echo "Compile finished."
@echo "***********************************************"
sim:
./simv +UVM_TESTNAME=my_case0
@echo "***********************************************"
@echo "Simulation finished."
@echo "***********************************************"
clean:
rm -rf csrc simv simv.* *.fsdb *.fsdb* .__solver_cache__
rm -rf novas* ucli.key vc_hdrs.h