UVM实战阅读笔记2.2

2.2节 只有driver的验证平台


2.1.1 最简单的验证平台

一、代码及解释

1.1 dut定义

module dut(clk,
    rst_n,
    rxd,
    rx_dv,
    txd,
    tx_en);
        input clk;
        input rst_n;
        input[7:0] rxd;
        input rx_dv;
        output [7:0] txd;
        output tx_en;

        reg[7:0] txd;
        reg tx_en;

         always @(posedge clk) begin
            if(!rst_n) begin
                txd <= 8'b0;
                tx_en <= 1'b0;
            end
            else begin
            txd <= rxd;
            tx_en <= rx_dv;
            end
        end
 endmodule

原文解释:

1.2 driver代码

	
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

youzjuer

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值