UVM中测试用例的启动

一、命令行指定

./simv  -l simv.log +UVM_TESTNAME=my_case0

其中 +UVM_TESTNAME=my_case0

中的my_case0就是测试用例的名字

二、文件结构

 在test文件夹中my_case0.sv对应case的名字

三、文件说明

3.1 my_case0.sv

 其中其他都是写死的,只有红框的部分根据具体的代码进行修改,

第二个红框中case_vseq对应的便是sequence的名字

3.2 在sequence中启动sequencer

 红框部分对应启动过程

3.3 sequence中数据随机化

这里面的代码也都是写死的 

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
引用\[1\]提到,测试用例是对DUT施加的激励,也被称为测试向量或pattern。每个测试用例都是一种激励,不同的激励就是不同的测试用例测试用例的数量是衡量验证人员工作成果的最直接目标。为了保证后加的测试用例不影响已经建好的测试用例,可以在命令行指定参数来启动不同的测试用例。在UVM,可以通过设置UVM_TEST_NAME来指定要运行的测试用例的名字。引用\[2\]给出了一个示例,可以通过命令行参数来启动特定的测试用例。例如,使用命令行参数+UVM_TEST_NAME=my_case1来启动名为my_case1的测试用例。 在编写UVM测试用例时,可以按照以下步骤进行: 1. 创建一个继承自uvm_test类的测试用例类。 2. 在测试用例重写build_phase方法,用于构建测试环境和配置各个组件。 3. 在测试用例重写run_phase方法,用于执行测试过程。 4. 在测试用例定义需要的sequence,用于生成测试向量。 5. 在测试用例定义需要的scoreboard,用于验证DUT的输出。 6. 在测试用例定义需要的coverage,用于评估测试覆盖率。 7. 在测试用例定义需要的reporting,用于生成测试报告。 引用\[3\]给出了一个示例,展示了如何在UVM设置和运行测试用例。在initial块,使用run_test函数来启动名为"base_test"的测试用例。同时,使用uvm_config_db来设置各个组件的接口。 总结起来,编写UVM测试用例需要创建一个继承自uvm_test类的测试用例类,并在其重写build_phase和run_phase方法,定义sequence、scoreboard、coverage和reporting等组件,以及使用uvm_config_db来设置组件的接口。可以通过命令行参数来指定要运行的测试用例的名字。 #### 引用[.reference_title] - *1* [数字IC验证:《UVM实战》笔记 - Ch2 一个简单的UVM验证平台(下) - sequence,建造测试用例](https://blog.csdn.net/Dabie_haze/article/details/119398822)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [UVM实战 卷I学习笔记4——创建测试用例](https://blog.csdn.net/weixin_41979380/article/details/120498749)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [UVM:2.5 建造测试用例-> 2.5.1 加入base_test](https://blog.csdn.net/tingtang13/article/details/46427821)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

youzjuer

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值