UVM入门实验——lab4


前言

实验内容:

  • 将产生transaction并且发送至driver的generator组件,拆分为sequence与sequencer
  • 在拆分的基础上,实现底层的qequence
  • 完成sequencer与driver的连接和通信
  • 构建顶层的virtual sequencer
  • 将原有的mcdf_base_test拆分为mcdf_base_virtual_sequence与mcdf_base_test,前者发挥产生序列的工作,后者只完成挂载序列的工作
  • 将原有的mcdf_data_consistence_basic_test和mcdf_full_random_test继续拆分为对应的virtual sequence和轻量化的顶层test

实验的最终目标是将generator、driver和test的关系移植为sequence、sequencer、driver和test的关系,主要目的是熟悉sequence的使用。


提示:以下是本篇文章正文内容,下面案例可供参考

一、driver与sequencer的改建

移除各个组件中driver中的mailbox句柄,以及在do_driver()任务中使用mailbox句柄通信的方式,转而使用uvm_dirver::seq_iten_port进行通信。并且后续会将generator拆分sequencer和sequence,这里先定义sequencer,用于和driver的tlm端口通信

chnl_pkg(其他组件同下):
在这里插入图片描述
将generator拆分成sequencer和sequence,sequencer如下:
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
【注意】:一定要注明driver 和 sequencer(包括sequence)参数类型且一致,否则仿真会报错


二、底层sequence提取

将原来在各个generator中发送transaction的任务,提取为各个对应的底层sequence。需要注意的是可以将mcdf_base_test中的idle_reg()、write_reg()和read_reg()也可以提取在reg_pkg中定义为对应的sequence,即idle_reg_sequence、write_reg_sequence和read_reg_sequence

chnl_pkg:
在这里插入图片描述
reg_pkg:
在这里插入图片描述

在这里插入图片描述
fmt_pkg:
在这里插入图片描述

在各个agent中声明、创建对应的sequencer,并且将其与driver通过TLM port连接起来。

chnl_pkg:
在这里插入图片描述
reg_pkg:
在这里插入图片描述
fmt_pkg:
在这里插入图片描述


三、移除generator的痕迹

将各个组件的generator定义,mcdf_base_test 中的声明、创建以及与driver之间的连接清除。


四、移除mcdf_base_test的transaction发送方法

移除已经移植到reg_pkg中的idle_reg()、write_reg()、read_reg()方法,mcdf_base_test只变为了容器性质,它的内部主要由mcdf_env、将来添加的mcdf_config配置对象以及被用来挂载的顶层sequence构成。


五、添加顶层的virtual sequencer

由于MCDF的验证环境存在多个底层的sequencer和sequence,因此这就需要有顶层的virtual sequencer与virtual sequence统一调度。

  • 实现MCDF顶层virtual sequencer

在这里插入图片描述

  • 在mcdf_env中声明、例化virtual sequencer,并完成其与顶层sequencer的连接

在这里插入图片描述


六、重构mcdf_base_test

原有的mcdf_base_test除了承担其容器的功能,还在其run_phase阶段实现了sequence的分阶段发送功能。在添加了顶层的virtual sequencer之后,需要将所有发送序列的顺序和组织等内容均移植到mcdf_base_virtual_sequence。

  • 定义mcdf_base_virtual_sequence,并将发送序列的功能移植到run phase,最后在base_test中完成virtual sequence到virtual sequencer的挂载与启动

定义mcdf_base_virtual_sequence
在这里插入图片描述

  • 在mcdf_base_test中完成挂载和发送序列,任务run_top_virtual_sequence()需要做的就是virtual sequence的例化、挂载并发送virtual sequence

在这里插入图片描述


七、重构mcdf_data_consistence_basic_test

将产生和发送transaction的任务均移植到mcdf_data_consistence_basic_virtual_sequence,使相应的mcdf_data_consistence_basic_test只作为容器

定义mcdf_data_consistence_basic_virtual_sequence
在这里插入图片描述
在这里插入图片描述

mcdf_data_consistence_basic_test完成挂载与发送序列
在这里插入图片描述


八、重构mcdf_full_random_test

定义mcdf_full_random_virtual_sequence
在这里插入图片描述
在这里插入图片描述

mcdf_data_consistence_basic_test完成virtual sequence的挂载与发送
在这里插入图片描述


编译仿真

在这里插入图片描述
上述错误原因在于没有将传递给driver的req序列id赋值给rsp,sequencer无法识别rsp应该返回给idle/write/read_reg_sequence中的哪一个。克隆任务clone()不会复制sequence_id,因此在多序列挂载同一个sequencer且有返回值时一定要手动复制req的序列id。【红宝书p379】
在这里插入图片描述


  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 《UVM入门进阶实验1文档》是一份用于帮助初学者进一步理解和学习UVM实验指导文档。该文档提供了UVM编程框架的第一个实验,旨在帮助读者熟悉UVM的基本概念和用法。 实验1的目标是创建一个简单的UVM测试环境,并展示如何使用UVM框架进行测试。实验包括以下几个主要步骤: 1. 创建一个UVM测试环境:在这一步骤中,我们需要定义测试环境的结构和组件。包括创建一个顶层测试模块、一个顶层环境模块,以及其他必要的组件,如驱动器、监视器、生成器等。 2. 编写测试用例:在这一步骤中,我们需要编写一个简单的测试用例来验证被测设计的功能。测试用例需要继承自UVM的`uvm_test_case`类,并在`run_phase`中定义测试过程。 3. 编写环境配置:在这一步骤中,我们需要将测试用例和测试环境进行连接,并设置一些必要的运行时参数。通过配置对象的方式,我们可以很方便地配置测试环境中的各个组件。 4. 运行仿真:在这一步骤中,我们需要运行仿真并观察测试结果。通过在测试用例中创建一个sequence对象,我们可以在运行时动态生成测试序列。 《UVM入门进阶实验1文档》详细说明了每一步的具体实现方法,并提供了代码示例和可参考的资源链接。 通过完成实验1,读者可以对UVM的基本概念和使用方法有一个更深入的了解。这将为进一步学习和掌握UVM提供坚实的基础,并为以后的工作打下良好的基础。同时,实验1还可以帮助读者培养UVM编程的思维方式和调试技巧,提高工作效率。 总之,《UVM入门进阶实验1文档》是一份非常有价值的学习资料,通过按照文档的指导完成实验,读者可以在短时间内快速入门并掌握UVM的基本用法。 ### 回答2: 《UVM入门进阶实验1文档》是一本介绍UVM(Universal Verification Methodology)的入门实验指导书。UVM是一种用于验证硬件设计的方法学,它提供了一套面向对象的验证框架,可以用于设计验证的自动化和重用。 本文档首先简要介绍了UVM的概念和特点,然后详细讲解了实验1的内容。实验1主要涉及到UVM中最基础的概念和类的使用方法。首先,介绍了UVM中的基础类,如uvm_component、uvm_object和uvm_sequence等,以及它们的继承关系和功能。然后,介绍了如何创建和管理UVM环境,并讲解了如何使用UVM Testbench中的各种组件来进行设计验证。最后,讲解了一些常用的调试技巧和工具,如波形查看器和消息记录器等。 在实验1中,学员将通过几个简单的示例,来熟悉UVM的基本概念和使用方法。例如,学员将学习如何创建一个简单的UVM Testbench,并使用UVM的配置机制来对其进行配置。此外,学员还将学习如何创建和管理UVM Sequences,并在Testbench中使用它们来生成随机的输入数据。最后,学员将学习如何使用UVM里的Transaction来封装输入输出数据,以及如何使用Scoreboard来进行结果验证。 通过完成实验1,学员将掌握UVM中最基本的概念和使用方法,为后续的进阶实验打下基础。同时,学员将对UVM的工作原理和设计验证的流程有一个清晰的认识,为进一步深入学习和应用UVM提供了基础。 ### 回答3: 《UVM入门进阶实验1文档》是一份详细介绍了如何使用UVM进行验证的教程。UVM是一种用于硬件验证的开放式框架,能够帮助工程师更高效地开发和执行验证环境。 该文档首先简要介绍了UVM的背景和原理,包括UVM Testbench的组成结构和工作流程。然后,文档逐步指导读者完成实验1,并提供了实验所需的样例代码和测试平台。 在实验1中,文档首先指导读者创建一个简单的UVM环境,并介绍了UVM的基本类和功能。然后,通过一个简单的例子演示了如何创建一个UVM测试,包括定义测试类、产生和驱动测试向量、分析和比较结果等。读者可以按照文档提供的步骤和示例代码,逐步完成实验。 在实验进行过程中,文档还不断提供了一些常见问题和解决方法。这些问题和解决方法能够帮助读者更好地理解和应用UVM,解决遇到的困惑和难题。 该文档还包括对实验的详细说明和解析,比如UVM环境的搭建、测试向量的生成和分析等。通过这些详细说明和解析,读者可以更深入地理解UVM的工作原理和实现方式。 总之,《UVM入门进阶实验1文档》是一份非常实用的教程,帮助读者快速入门和进阶使用UVM进行硬件验证。通过该文档的学习和实验,读者能够掌握UVM的基本概念和使用方法,为日后的硬件验证工作打下坚实的基础。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值