UVM入门实验——lab5

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档


前言

学习内容:

  • 对uvm_reg的定义,以及uvm_reg_block的组织
  • 对uvm_reg_adapter的定义,以及它与uvm_reg_block之间的关系
  • 对uvm_reg_predictor的使用,以及它与uvm_reg_adapter和uvm_reg_block之间的关系
  • 改造之前的寄存器发送序列,并以uvm_reg的操作方式去取代
  • 应用内建的寄存器序列,做全面的寄存器测试

提示:以下是本篇文章正文内容,下面案例可供参考

一、寄存器模型的完善和嵌入

uvm_reg和uvm_reg_block的定义已经完成,按照要求完成以下步骤:

1.1 Adapter中数据映射的方法实现

【参考红宝书p416】实现reg2mcdf_adapter类的方法reg2bus以及bus2reg
uvm_reg_adapter的出现是为了解决寄存器模型一侧和总线一侧数据类型不同,需要进行数据类型的转化。前门读写时寄存器模型通过sequence都会产生一个名为uvm_reg_bus_op结构体变量,该变量成员见【红宝书p415,表14.2】,需要通过adapter函数reg2bus以及bus2reg桥接reg_agent.sequencerrgm一侧,对变量进行类型转化。即adapter的转换功能实际上是将uvm_reg_bus_op事务与成reg_trans事务之间的转换。

在这里插入图片描述
函数reg2bus()中的return t 是隐性的类型转换,该函数规定了返回类型是父类item,由于t是子类trans,因此必定会存在类型转换。

1.2 在env中声明、例化和连接寄存器模型的相关组件

寄存器模型相关组件有rgm、adapter和predictor,需要理清它们之间的关系。

在这里插入图片描述
在这里插入图片描述

二、寄存器模型的使用

按照以下要求,改造之前的激励序列:

2.1 将环境例化的reg_block与顶层virtual sqr、virtual seq连接

在这里插入图片描述

2.2 改写寄存器读写方式1

将mcdf_data_consistence_basic_virtual_sequence原有的由总线sequence实现的寄存器读写,改为由寄存器模型操作的寄存器读写方式。

在这里插入图片描述

2.3 改写寄存器读写方式2

将mcdf_full_random_virtual_sequence原有的总线sequence实现的寄存器读写,改为由寄存器模型预先设置寄存器值再统一做总线寄存器更新的方式,并且稍后由后门读取的方式取得寄存器值,加以比较。

在这里插入图片描述


三、寄存器内建序列的应用

UVM已经内建了一些寄存器序列,接下来选择一些序列对寄存器展开测试
在新建的mcdf_reg_builtin_virtual_sequence类中,请使用uvm_reg_hw_reset_seq、uvm_reg_bit_bash_seq和uvm_reg_acess_seq对MCDF寄存器模块展开全面测试。

在这里插入图片描述
在这里插入图片描述


仿真结果:
在这里插入图片描述
对于检查寄存器映射的有效性测试,出现wainning信息
在这里插入图片描述
MCDF寄存器模型如下图:
在这里插入图片描述


  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 《UVM入门进阶实验1文档》是一份用于帮助初学者进一步理解和学习UVM实验指导文档。该文档提供了UVM编程框架的第一个实验,旨在帮助读者熟悉UVM的基本概念和用法。 实验1的目标是创建一个简单的UVM测试环境,并展示如何使用UVM框架进行测试。实验包括以下几个主要步骤: 1. 创建一个UVM测试环境:在这一步骤中,我们需要定义测试环境的结构和组件。包括创建一个顶层测试模块、一个顶层环境模块,以及其他必要的组件,如驱动器、监视器、生成器等。 2. 编写测试用例:在这一步骤中,我们需要编写一个简单的测试用例来验证被测设计的功能。测试用例需要继承自UVM的`uvm_test_case`类,并在`run_phase`中定义测试过程。 3. 编写环境配置:在这一步骤中,我们需要将测试用例和测试环境进行连接,并设置一些必要的运行时参数。通过配置对象的方式,我们可以很方便地配置测试环境中的各个组件。 4. 运行仿真:在这一步骤中,我们需要运行仿真并观察测试结果。通过在测试用例中创建一个sequence对象,我们可以在运行时动态生成测试序列。 《UVM入门进阶实验1文档》详细说明了每一步的具体实现方法,并提供了代码示例和可参考的资源链接。 通过完成实验1,读者可以对UVM的基本概念和使用方法有一个更深入的了解。这将为进一步学习和掌握UVM提供坚实的基础,并为以后的工作打下良好的基础。同时,实验1还可以帮助读者培养UVM编程的思维方式和调试技巧,提高工作效率。 总之,《UVM入门进阶实验1文档》是一份非常有价值的学习资料,通过按照文档的指导完成实验,读者可以在短时间内快速入门并掌握UVM的基本用法。 ### 回答2: 《UVM入门进阶实验1文档》是一本介绍UVM(Universal Verification Methodology)的入门实验指导书。UVM是一种用于验证硬件设计的方法学,它提供了一套面向对象的验证框架,可以用于设计验证的自动化和重用。 本文档首先简要介绍了UVM的概念和特点,然后详细讲解了实验1的内容。实验1主要涉及到UVM中最基础的概念和类的使用方法。首先,介绍了UVM中的基础类,如uvm_component、uvm_object和uvm_sequence等,以及它们的继承关系和功能。然后,介绍了如何创建和管理UVM环境,并讲解了如何使用UVM Testbench中的各种组件来进行设计验证。最后,讲解了一些常用的调试技巧和工具,如波形查看器和消息记录器等。 在实验1中,学员将通过几个简单的示例,来熟悉UVM的基本概念和使用方法。例如,学员将学习如何创建一个简单的UVM Testbench,并使用UVM的配置机制来对其进行配置。此外,学员还将学习如何创建和管理UVM Sequences,并在Testbench中使用它们来生成随机的输入数据。最后,学员将学习如何使用UVM里的Transaction来封装输入输出数据,以及如何使用Scoreboard来进行结果验证。 通过完成实验1,学员将掌握UVM中最基本的概念和使用方法,为后续的进阶实验打下基础。同时,学员将对UVM的工作原理和设计验证的流程有一个清晰的认识,为进一步深入学习和应用UVM提供了基础。 ### 回答3: 《UVM入门进阶实验1文档》是一份详细介绍了如何使用UVM进行验证的教程。UVM是一种用于硬件验证的开放式框架,能够帮助工程师更高效地开发和执行验证环境。 该文档首先简要介绍了UVM的背景和原理,包括UVM Testbench的组成结构和工作流程。然后,文档逐步指导读者完成实验1,并提供了实验所需的样例代码和测试平台。 在实验1中,文档首先指导读者创建一个简单的UVM环境,并介绍了UVM的基本类和功能。然后,通过一个简单的例子演示了如何创建一个UVM测试,包括定义测试类、产生和驱动测试向量、分析和比较结果等。读者可以按照文档提供的步骤和示例代码,逐步完成实验。 在实验进行过程中,文档还不断提供了一些常见问题和解决方法。这些问题和解决方法能够帮助读者更好地理解和应用UVM,解决遇到的困惑和难题。 该文档还包括对实验的详细说明和解析,比如UVM环境的搭建、测试向量的生成和分析等。通过这些详细说明和解析,读者可以更深入地理解UVM的工作原理和实现方式。 总之,《UVM入门进阶实验1文档》是一份非常实用的教程,帮助读者快速入门和进阶使用UVM进行硬件验证。通过该文档的学习和实验,读者能够掌握UVM的基本概念和使用方法,为日后的硬件验证工作打下坚实的基础。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值