从零开始搭建UVM平台(二)-加入factory机制

书接上回:

从零开始搭建UVM平台(一)-只有uvm_driver的验证平台

                                                                加入factory机制

前面搭建的平台其实一点都没有用到uvm的特性。

加入factory机制的明显两个优点:(1)不用自己手动例化类;(2)自动调用phase

实现上面两个功能只需要修改两个地方:

1. 把my_driver.sv注册到factory中,只需要添加一行代码:

2. Harness.sv里把手动例化替换成:

结果:

并没有打印出前面出现的10条uvm_info,这是因为uvm里的phase的执行需要objection机制来保证该phase是否需要执行,如果需要执行,则需要在第一个消耗仿真时间语句前raise_objection,最后再drop_objection。具体见下节内容。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值