从零开始搭建UVM平台(七)-加入monitor

书接上回:

从零开始搭建UVM平台(一)-只有uvm_driver的验证平台

从零开始搭建UVM平台(二)-加入factory机制

从零开始搭建UVM平台(三)-加入objection机制

从零开始搭建UVM平台(四)-加入interface

从零开始搭建UVM平台(五)-加入transaction机制

从零开始搭建UVM平台(六)-加入env

  1. 加入monitor

为什么要加入monitor?monitor的作用和driver相反,driver负责驱动数据给dut,monitor负责从dut收集收据并将数据处理后送给环境的scoreboard进行数据比对。

第一步:创建一个monitor文件

需要注意的是,monitor负责收集数据就需要while(1)循环来不断检测数据。代码第45-59行是加了一个看门狗机制,只要vld拉低超过200ns,环境就会自动退出。

第二步:在env里例化monitor

需要注意的是,例化了两个monitor,一个用于检测dut的入口数据,一个用于检测dut的出口数据。

第三步:顶层需要传入interface给monitor

结果:打印了两个monitor收到的两个包的数据

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值