从零开始搭建UVM平台(十一)-加入field automation机制

书接上回:

从零开始搭建UVM平台(一)-只有uvm_driver的验证平台

从零开始搭建UVM平台(二)-加入factory机制

从零开始搭建UVM平台(三)-加入objection机制

从零开始搭建UVM平台(四)-加入interface

从零开始搭建UVM平台(五)-加入transaction机制

从零开始搭建UVM平台(六)-加入env

从零开始搭建UVM平台(七)-加入monitor

从零开始搭建UVM平台(八)-加入agent

从零开始搭建UVM平台(九)-加入reference model

从零开始搭建UVM平台(十)-加入scoreboard

                                                                加入field automation机制

前面我们在对transaction操作的过程中,自己定义了display/copy/compare函数,但是uvm机制中实现了field automation机制来帮助我们实现了一套print/copy/compare等函数的代码,这样只需要调用即可,帮我们节省了很多的代码量。

第一步:在transaction里用宏实现field automation机制并去掉自己定义的display/copy/compare函数

注意这里pload是动态数组,所以要用`uvm_field_array_int

第二步:去掉环境里手动调用display/copy/compare函数,直接调用field automation机制提供的函数

打印结果:

红框部分内容就是调用field automation机制提供的print函数打印出的内容格式。

第三步:field automation机制不仅提供了print/copy/compare函数,还提供了pack_bytes()和unpack_bytes()函数,这给driver和monitor节省了很多代码量。

注意:这里pack_bytes的输入参数是一个类型为byte unsigned的动态数组,这个动态数组是打包后的tr要存储的动态数组,返回数据类型是int,表示的是tr的bit流长度。

Unpack_bytes函数的输入参数和输出参数含义和pack_bytes一样。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值