DDS原理研析

概述

DDS说白了就是一个简陋的ROM地址控制器,通过控制地址,以映射数据,仅此而已。

体现在数学公式Sin(x+a)上,ROM就是Sin()函数,地址就是x+a。

分析

以下先列出FPGA代码,再对其原理加以说明。

假设:ROM地址位宽为8,ROM数据为一个完整正弦波。

reg [15:0]cnt=0;
always @(posedge clk) cnt <= cnt + frequency;

wire [7:0] RomAddr =  cnt[15:8] + phase;

众所周知,这玩意只能调频和调相,调频靠frequency,调相靠phase。

[7:0]做翘点,也就是2^8。

frequency等于2^8则ROM地址以clk周期的速率更新,一时钟加一地址,连续输出地址;

frequency大于2^8,ROM地址依旧以clk周期的速率更新,不过是跳着输出;

frequency小于2^8,ROM地址多个clk周期的速率更新,多次输出同一地址;

phase可正可负,左加右减。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值