1位的二选一多路选择器的逻辑表达式实现

design source

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2018/03/17 08:26:28
// Design Name: 
// Module Name: disTwo2OneSelector
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//




module disTwo2OneSelector(
    input sl,
    input a,
    input b,
    output out
    );
    reg out;
    always @(sl or a or b)
        begin
//         “?  :”表达式的返回值必须赋给一个变量。
            out=sl?b:a;
        end

endmodule

simulation source

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2018/03/17 08:45:19
// Design Name: 
// Module Name: simTwo2OneSelector
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//

module simTwo2OneSelector(


    );
    reg simA,simB,simSl;
    wire simOut;
        initial
        begin
        
        simSl=0;
        simA=0;
        simB=0;
//       在激励程序运行的瞬间,"simSl=0;simA=0;simB=0;"语句已经执行完了。
//       在0-10个时间单位,激励程序的状态保持不变。
        #10
         simSl=0;
         simA=1;
         simB=0;


    
        #10
         simSl=1;
         simA=0;
         simB=0;


    
        #10
         simSl=1;
         simA=0;
         simB=1;
        end
//  设计文件模块名      对象名            (.设计文件模块中的类中的参数(激励文件模块中的类中的参数))
    disTwo2OneSelector objTwo2OneSelector(.a(simA),.b(simB),.sl(simSl),.out(simOut));
endmodule

 

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值