利用quartus ii进行IP核的调用

这篇博客分享了如何在quartus ii中调用IP核,以8位乘法器为例,详细介绍了新建工程、器件选择、IP核库的浏览及参数设置等步骤,特别提醒了流水线插入的注意事项。
摘要由CSDN通过智能技术生成

以调用乘法器IP核为例进行说明。
首先应当新建一个工程
在这里插入图片描述
进入到工程设置 设置好工程路径与工程名称
在这里插入图片描述
没有文件的话,这里可以先不添加。直接点击next;
在这里插入图片描述
进行器件的选择
在这里插入图片描述
点击next
在这里插入图片描述
继续点击next,进入到下一步
在这里插入图片描述
点击finish完成工程设置。
(在这一步可以通过新建verilog文件加入自己的设计文件)
接着就是IP核调用环节啦
点击tools–Megawizard Plug-In manager(魔法棒)

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值