Synthesis Timing Constraints

4 篇文章 1 订阅
2 篇文章 0 订阅

 

  1. 时钟约束


  • create_generated_clock 

 

master clk和generated clk的关系如下,相位相反

 

约束语句: 将source clock设置在触发器的clock端。如下:

create_generated_clock -name CLKdiv2 \

-divide_by 2 \

-source [get_pins Udiv/CP] \

[get_pins Udiv/Q]

这样generated clock和source clock的关系和声明的一直。工具会根据声明的source clock 找到它的master clock,同时确定source clock和master clock相位相反的关系,由此就确定了generated clock和master clock的关系。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值