自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(95)
  • 资源 (4)
  • 收藏
  • 关注

原创 字符设备驱动开发模版

@param - filp : 设备文件,file结构体有个叫做private_data的成员变量。/* 设置私有数据 */#define DTSGPIO_CNT 1 /* 设备号个数 */#define DTSGPIO_NAME "dtsgpio" /* 名字 */* @param - filp : 要打开的设备文件(文件描述符)/* 主设备号 */int minor;/* 次设备号 *//* 设备节点 */

2024-03-07 16:23:18 760

原创 zynqmp Linux + 裸机 (A53-0 Linux,A53-1 2 3 裸机大数据量实时处理,R5-0 协议处理,R5-1 屏幕显示逻辑等)填坑笔记

fpga 和arm 采用预留内存的方式,采用neon 协处理器只能做到 250M/S 的速度,预留内存采用mmap的方式,当读取内存页的时候采用缺页中断的方式,导致速度拖沓而且预留内存没有进行Linux系统的内存管理(在系统内 memcpy的速度 可以到 5G/S),自己写DMA驱动,虽然可以用Linux 本身的框架,但是结果不确定,2)Memory Setting,配置ddr 参数 ,这里很关键,需要和 裸机那边的配置区别开,冲突了可能启动有问题,我配置到了低2G的空间,高2G 可能存在问题,还没试。

2023-12-19 17:41:36 1444

原创 petalinux2021.1 手动打包BOOT.BIN

在我们单独调试u-boot或者fsbl或者R5程序时只需要编译生成elf后打包生成BOOT.bin,那么打包生成BOOT.bin的方式除了petalinux-package还可以参照Vitis流程使用bootgen工具,该工具在source了Vitis环境变量后就可以使用了。如果需要调试u-boot我们只需要编译生成我们的u-boot.elf然后修改对应的路径使用bootgen就可以生成BOOT.BIN。使用bootgen主要就是要bif格式的配置文件。使用命令打包BOOT.BIN。

2023-12-19 17:35:07 743 1

原创 Zynq UltraScale+ MPSoC-AMP(linux+裸机)

接着继续平台工具:zcu106,vitis2020.2,petalinux2019.2。

2023-12-18 11:07:42 1152

原创 source insight如何设置编码格式总是乱码

经过尝试,在Options->Preferences->Files最下面选择默认编码方式Default Encoding即可,但是需要重建一下你的项目。2、在4.0界面File->Reload as Encoding…->选择gb2312;但是这种只能对当前文件有效,如何一劳永逸呢?还需要 执行一次 porject -> rebuild project 才能更新所有文件编码格式。如果还不行,就将新建的source工程删除,重新建一个即可。

2023-09-14 14:49:25 1070

原创 AD936x 配置软件介绍 中(AD936x Evaluation Software)

如下表所示,通过对0x03D和0x03E这两个寄存器中的位置1,可以将任何LVDS差分对的相位从默认配置反转。默认配置下数据位是反转的,时钟和帧信号不反转。设置0x03D = 0xFF和0x03E = 0x0F以防止数据反转。需要在软件中将端口数据勾选。:使能LVDS模式,勾选: 后续使用zc706,选取150mv。

2023-08-01 17:33:45 308

原创 在U盘上运行的 Windows

Windows To Go”,它可以让你将 Windows 完整安装到U盘、移动硬盘等便携设备上,并且能随处在不同的电脑硬件上直接运行,让系统可以随身携带!”,让你的Windows带着走!它不是神马精简版,也不是 WinPE,而是货真价实完完整整的 Windows 操作系统,和正常安装的几乎没有区别。从5.5版本开始不再支持32位系统、Win7系统,32位系统、Win7系统最后可用版本是5.4.3。语言:简体中文,繁体中文,英文。更新时间:2023-03-04。平台:Win x64。

2023-03-30 17:57:55 669

原创 XILINX AXI总线学习

AXI介绍什么是AXI?AXI(高级可扩展接口),是ARM AMBA的一部分;AMBA:高级微控制器总线架构;是1996年首次引入的一组微控制器总线;开放的片内互联的总线标准,能在多主机设计中实现多个控制器和外围设备之间的连接和管理。AXI三种类型AXI4(AXI4-Full):用于高性能的存储器映射需求;(存储器映射:主机在对从机进行读写操作时,指定一个目标地址,这个地址对应系统存储空间的地址,表示对该空间进行读写操作);AXI4-Lite:简化版的AXI4接口。

2023-03-08 19:39:52 779

转载 基于FPGA的PCIE设计

是PCIe链路训练的开端。:PCIe总线层次结构的最高层,该层次将接收PCIe设备核心层的数据请求,并将其转换为PCIe总线事务, PCIe总线使用的这些总线事务在TLP头中定义。例如X86的架构中包含DDR控制器和FSB to PCIe的桥, 而ZynqSoc芯片架构中包含AXI to PCIe的桥和DDR控制器,PowerPc只包含一个PCIe总线控制器。这里需要注意的是,root port对于endpoint的访问只能访问bar空间,而endpoint对root port的读写操作可以访问整个内存。

2023-02-20 11:33:25 4725

原创 vivado更换版本导致的IP更新解决办法

二、下面介绍另一种方法,对应上述方法不能使用的情况(Upgrade Selected 按钮是灰色的 情况)1.生成IP核的状态报告 Tools -> Report -> Report IP Status。2.点击Upgrade SelectedUpgrade。从此,被锁住的IP就可以正常配置了。3.更新完成后IP Status。

2023-02-16 17:03:27 2675

转载 最小频移键控(MSK)

最小频移键控(MSK)

2023-02-01 18:35:56 229

转载 振幅、周期、相移和频率

振幅、周期、相移和频率

2023-02-01 15:42:18 161

转载 如何计算AD采样最大功率值;如何计算接收机链路的增益

如何计算AD采样最大功率值;如何计算接收机链路的增益

2023-01-05 10:24:21 1451

原创 奈奎斯特采样定理(Nyquist)

奈奎斯特采样定理(Nyquist)

2023-01-04 17:55:48 2826

转载 Xilinx IDDR与ODDR原语的使用

Xilinx IDDR与ODDR原语的使用

2023-01-04 17:24:08 523

转载 如何正确复制CSDN文章到自己的博客

https://blog.csdn.net/weixin_70280523/article/details/127899413

2023-01-03 19:30:27 3089 2

转载 Xilinx IDELAYE2应用笔记及仿真实操

Xilinx IDELAYE2应用笔记及仿真实操

2023-01-03 19:27:10 2563 3

原创 vivado sdk添加头文件

vivado sdk添加头文件

2022-10-27 15:44:21 815

原创 LINK16 单网结构

LINK16 单网结构

2022-09-06 19:42:50 1595

转载 linux 安装openssl1.1.1

linux 安装openssl1.1.1

2022-08-11 14:25:28 3407

转载 【无标题】ZYNQ使用SDK生成Linux设备树

编译设备树

2022-08-09 16:50:20 845 1

原创 ZYNQ空间地址详细配置

一,总的地址空间为4G(32bits地址总线)参考UG5851、从0地址开始的1G为DDR与256KB的OCM空间,注意OCM的分配较为复杂2、从0x4000_0000开始的1G为M_GP0的空间3、从0x8000_0000开始的1G为M_GP1的空间4、从E000_0000开始的为USB、SPI、IIC、ETH这些外设的空间5、然后从FFFC_0000开始的256KB中分配给OCM(OCM分配方式有两种,后面会说到)。6、PS_RAM0 0x0-0x30000 PS_RAM1 0x

2022-05-19 16:34:41 2241

原创 AXI4 读写时序

2022-05-19 15:41:54 426

转载 【无标题】Vivado添加sublime text编辑器

  我们当用vivado会发现文本编辑器有点鸡肋,没有自动的缩进的功能,所以我想用sublime来进行文本的编辑,下面就是绑定的一些方法(但是呢其实吧,虽然可以绑定却不能实时的报错,,,我感觉我还是老老实实的用默认的编辑器吧,唉,如果有和我一样介意这一点的就不必看下文了,节省点时间,当然如果那个小伙伴会可以告诉,网上这方面的资料是在有点少)  设置好就是sublime的配置了:...

2022-04-01 16:45:51 551 1

转载 【无标题】vivado和modelsim自动仿真

1)vivado自动在工程目录下生成下面的目录和文件,红框中就是我们需要改的。2)修改tb_sim_wave.do文件,把模块信号添加到波形显示。3)修改tb_sim_simulate.do文件,这个文件我只修改了仿真时间。可以看出这个do文件调用了tb_sim.udo,这个文件应该可以用户自己添加,具体我现在也不知道,明白了以后再来分享。4)修改修改tb_sim_compile.do文件,这个文件最后一行是quit ,把这行注释掉或者删除,添加 do tb_sim_simula..

2022-04-01 16:15:36 404

转载 Sublime与Verilog

Sublime与Verilog【二】:几款提升搬砖效率的插件!十二点过九分我就是个工具人!71 人赞同了该文章前文简单介绍了Sublime Text 3的下载安装、几款基本的插件以及与Vivado的关联使用,这次就介绍下几款真的可以提升编写Verilog代码效率的插件!虽说网上已有很多相关文章,但是大多未详细介绍插件使用方法,所以本文就详细介绍一下!(当然,使用其他编辑器也可能达到同样效果)“秃头加速器”之前介绍了Package Control、ChineseLoca

2022-04-01 16:04:10 1472

转载 EC200S驱动移植、PPP拨号

https://blog.csdn.net/weixin_41682137/article/details/113725422

2021-08-02 18:23:31 512

转载 link sdk基于Make的编译说明

基于Make的编译说明我的收藏本页目录SDK裁剪 FEATURE_MQTT_COMM_ENABLED FEATURE_COAP_COMM_ENABLED FEATURE_HTTP_COMM_ENABLED FEATURE_DYNAMIC_REGISTER FEATURE_DEPRECATED_LINKKIT FEATURE_DEV_BIND_ENABLED FEATURE_DEVICE_MODEL_GATEWAY FEATURE_HTTP2_COMM_ENABLED FEATU

2021-07-14 10:36:03 378

转载 Labwindows打包制作Setup安装程序的步骤

CVI开发的程序如果在没有安装CVI开发平台的PC上运行,一般有以下几种方法:(原帖http://blog.sina.com.cn/u/2267289007转载请注明)1),将编译好的EXE文件和相关文件copy到目标PC的指定文件夹,将CVI的绿色运行库copy到目标PC的上述EXE文件所在的文件夹中,手动自己建所需的快捷。2),在目标PC上安装NI的Run Time Engine(不是Real Time),再将EXE和相关文件copy到目标PC的你所指定的...

2020-08-19 16:04:13 5786 3

转载 IMX6ULL 串口5修改

最近调试IMX6ULL新项目,配置了UART1UART6(arm下映射成ttymxc0ttymxc5),短接了RX和TX用minicom测试了UART1~ttymxc6,发现UART5只能发,不能收。后来配置成GPIO的方式调试,确认UART5的RX和TX的硬件连接没有问题。最后结合datasheet和imx6ull-pinfunc.h,发现是freescale官方配置管脚寄存器的值有错误。下面记录一下:管脚配置:pinctrl_uart5: uart5grp {fsl,pins = <

2020-06-11 17:00:58 1022 1

原创 Ubuntu16.04(64位)下安装和破解source insight4

首先apt-get install wine然后winetricks wininet然后下载sourceinsight,再用wine安装即可之后是破解:先用破解文件sourceinsight4.exe覆盖原来的安装文件cp sourceinsight4.exe ~/.wine/drive_c/"Program Files (x86)"/"Source Insight 4...

2020-03-23 13:53:12 2513

原创 Ubuntu 16.04 samba相关配置

安装samba:samba:sudo apt-get install sambasmbclient:sudo apt-get install smbclient2.修改配置文件:sudo vi /etc/samba/smb.conf在文件末尾加上如下[share] (相当于在home目录项增加了一个新用户)[share]comment = Share Fold...

2020-03-23 10:18:12 178

转载 ubuntu1604安装sougou输入法

1.下载搜狗输入法的安装包 下载地址为:http://pinyin.sogou.com/linux/,如下图,要选择与自己系统位数一致的安装包,我的系统是64位,所以我下载64位的安装包2.按键Ctr+Alt+T打开终端,输入以下命令切换到下载文件夹: cd~/Downloads/查看是否下载完成 ls安装搜狗输入法 sudodpkg...

2020-03-12 15:18:29 158

原创 ubuntu系统上vscode无法在线安装插件

在系统设置里面打开网络设置 选择网络代理,将方法设置为自动,并点击应用到整个系统。(如果设置为手动,虽然电脑能上网,但是vscode会出现cannot connect to the extensions marketplace问题) 3 打开vscode的插件,发现已能正常搜索、安装插件。 ...

2020-03-08 20:20:20 4139 2

原创 N沟道和P沟道MOS FET开关电路

在电路中常见到使用MOS FET场效应管作为开关管使用。下面举例进行说明。如图1所示,使用了P沟道的内置二极管的电路,此处二极管的主要作用是续流作用,电路是Li电池充放电电路,当外部电源断开时采用Li电池进行内部供电,即+5V电源断开后Q1的G极为低电平,S极和D极导通,为系统供电。图中D2和D3的一方面是降压的作用,使5V降为4V(D2为锗管压降为0.2V,D3硅管压降为0.7V)...

2020-03-06 22:55:16 7103

转载 PNP与NPN两种三极管使用方法

三极管的使用一直是我们在设计电路的时候经常会用到的。今天小编来为大家总结下关于NPN和PNP两种型号三极管的使用和连接方法,这里所说的是分别用于开关状态的电路。 首先来说一下NPN型,这种型号的三极管在用于开关状态时,大都是按图一的接法:发射极接地,集电极接高电平,基极接控制信号。在图一里,当信号Green为高电平时,三极管导通,电流从集电极流向发射极,也就是说从Vcc到地构成一回路,这个时候发光...

2020-03-06 22:47:13 10696 3

转载 超详细Linux下QT使用appimage打包程序

一 打包工具1.linuxdeployqt下载地址:https://github.com/probonopd/linuxdeployqt/releases2.patchelf下载地址:https://nixos.org/releases/patchelf/patchelf-0.9/patchelf-0.9.tar.gz二 配置linuxdeployqt...

2020-02-27 20:36:59 1229

转载 orCAD使用Orcad Capture CIS按Room摆放

(1)打开原理图某一工程页(2)按Ctrl键依次选中需要建Room的元件,右击,选择Edit Properties 在Filter by 下拉菜单中选择Cadence-Allegro,如图单击Room框,该列以黑色显示,表示全选右击,出现Edit Property Values窗口,如下填入Room名称,OK(3)在Filter by 下拉菜单中选择Current pr...

2020-02-20 10:08:44 962 1

转载 VMware虚拟机中linux CentOS7上网联网,简单粗暴亲测有效

看了网上很多教程,说说我是怎么做到让CentOS上网的,我的步骤很简单选中我们的虚拟机实例,右键,点击设置,进入以下界面从左到右依次点击标注地方,设置我们的网络连接为NAT模式,然后启动虚拟机,输入指令:ls/etc/sysconfig/network-scripts出现以下界面这一步是为了查看我们自己的配置文件名,比如我的就是ifcfg-ens33然后输入...

2020-02-13 20:11:04 929

转载 CentOS7中安装QT5及相关配置

一、Qt5.11.0安装1.Qt5.11安装包下载官网链接:http://download.qt.io/archive/qt/5.11/2.预安装必要的库首先安装mesa-libGL-devel,mesa-libGLU-devel,freeglut-develyum -y install mesa-libGL-devel mesa-libGLU-devel freeglut-dev...

2020-02-13 19:56:26 2499

多功能调试软件

现在网络上或者各个工作室使用的串口调试软件五花八门,大体上的功能都 是串口通讯设置、发送区显示、接收区显示等、由于体量小无需安装,使用起来 很方便,被广泛使用。但每个人对于这种小软件的设计都是根据自己的需要定做, 在某些特殊场合就不太适用。我们按照自己通用化、全面化的使用要求,自己编 写设计了“蓝精灵”调试软件。

2018-09-14

中兴软件编程规范

软件编程规范的目的是为了统一公司软件编程风格,提高软件源程序的可读性、可靠性和可重用性,提高软件源程序的质量和可维护性,减少软件维护成本,最终提高软件产品生产力。

2014-11-06

stm32 1053音乐播放器代码

自己设计的板子 看着别人的代码该写的 经过了很长的时间终于搞定了

2014-03-29

音乐播放器

STM32是基于ARM Cortex-M3内核的32位处理器,具有杰出的功耗控制以及众多的外设, 最重要的是其性价比。而且 STM32 官方在国内的宣传也是做得非常不错,而且针对 8 位机市 场推出了STM8

2013-10-30

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除