静态时序分析 Static Timing Analysis 教程


本文为Cadence Course Basic Static Timing Analysis v2.0笔记

1. Introduction to STA

1.1. STA Objectives

  • Identify where STA fits into the flow
  • Identify timing library information for the timing arcs in a design. e.g. Unateness, cell delays and net delays, slew.
    STA 把所有 cell delays and net delays 加在一起进行验证。

1.2. STA Process

Design - Specify - Capture RTL & Constrants - Synthesis (with STA) - STA - PR (with STA) - STA - Layout
在综合和PR过程中各有一次,在综合和PR结束后各有一次。

1.3. STA Tools

综合和PR过程中:

  • Timing engine in the Genus Synthesis Solution
  • Timing engine in Innovus and Tempus software

Timing verification tools:

  • Tempus Timing signoff solution

1.4. Timing Libraries

用来提供cells and interconnects (nets)的delay
Format:.lib (也有用ECSM and LBR)

1.5. Timing Paths

Timing paths include Timing arcs in cells and Timing arcs pf nets.
Timing arc代表了一个单独的causal relationship,是一个imaginary arc。Causal relationship是当输入因其输出变化而产生的一种关系。这些产生的delay都记录在timing library中。

1.6. Timing Arc Characteristics

Timing Arc Characteristics包括:Unateness, Slew, Delay. (都在library中)

- Unatenss:
如果输入与输出同向变化,称为positive unate(e.g. buffer); 反之称为negative unate(e.g. 反相器). NAND包含了两种。

- Slew:
Trasition time 是信号从高到低或从低到高产生的delay,通常是用10%-90%或20%-80%测量。Slew is the rate of transition (V/ns). Library中有slew threshold,STA tools以此计算input ris and fall times.

为了获取线性的部分,测量slew时通常选取比较小的范围,如40-60。e.g. slew在40-60(20% swing)范围内测得0.05,library slew threshold是10-90(80%),所以slew是0.05*80/20 = 0.20

Library中有look up table, 可根据input transition(slew)和output load得出对应的output transition. LUT中index1是row,index2是column。

在信号传递过程中可能会有slew degradation发生,尤其在某些比较长的net中。这也会导致信号衰减,delay变长。Slew degradation的信息也可以根据output pin transition (slew) and interconnect (net) delay在LUT中获取。

- Delay:
包含cell delay and net delay。

2. Cell Delay

2.1. Objectives

  • 了解如何从library中获取cell delay信息
  • 使用LUT计算cell delay

2.2. Cell Delay

之前提到过cell delay中都有timing arcs,因而会产生propagation delay。这些delay被称为cell delay。

Cell delay与这些有关:

  • The intrinsic delay
  • The load that it is driving
  • The input transition (input slew)

Cell delay包括:

  • Transition: 信号从低到高或从高到低所需时间。
  • Intrinsic delay:当输入端的transition time为0,且输出端没有load时cell内的delay。

STA工具默认从输入端50%处测量至输出端50%处。在library中定义了输入输出的threshold可以用来计算delay。

Library中的cell model包含以下信息:

  • 输入到输出的所有timing arcs
  • Output function
  • Cell footprint (告诉PR工具cell的对应layout是什么)
  • Cell delays
  • Output transition times
  • Cell power dissipation tables

LUT有两种:

  • 2D:包括input slew和output load
  • 3D:包括input slew, output load和相关的output load。e.g. 有两个输出时,另一个输出可当做related output load。

3. Net Delay

3.1. Objectives

  • 通过wire-lo
  • 4
    点赞
  • 62
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
STA(Static Timing Analysis静态时序分析是设计验证中非常重要的一部分,它能够保证设计的时序满足要求,并且对于设计中存在的时序问题进行诊断和修复。PT(PrimeTime)是业界较为常用的 STA 工具之一。下面是一个 PT 做 STA 静态时序分析教程。 1. 确定时序约束 时序约束文件是进行静态时序分析的基础,它描述了设计中的时序要求。时序约束应该包括时钟频率、时钟时序、输入输出延迟等信息。在 PT 中,时序约束文件格式为 SDC(Synopsys Design Constraints)。 2. 进行时钟分析 时钟分析是静态时序分析的第一步,它能够检查时钟网络中存在的时序问题。在 PT 中,我们可以使用 clock report 命令生成时钟分析报告。时钟分析报告能够帮助我们确定时钟路径、时钟树等信息。 3. 进行时序分析 在进行时序分析之前,我们需要将设计进行综合,并产生时序数据库(.db 文件)。时序分析主要包括前端分析和后端分析,前端分析主要是对时序路径进行分析,后端分析主要是对时序路径进行优化。 在 PT 中,我们可以使用 timing report 命令生成时序分析报告,报告中包括了时序路径、时序偏差等信息。我们可以根据报告中的信息进行时序优化,例如添加时钟缓冲、调整时钟路径等操作。 4. 进行时序约束修复 在进行时序分析时,PT 会给出一些违反时序约束的警告和错误信息。我们需要根据这些信息进行时序约束修复,以保证设计满足时序要求。在 PT 中,我们可以使用 constraint report 命令生成时序约束修复报告,报告中包括了需要修复的时序约束信息。 5. 进行时序分析验证 在进行时序分析之后,我们需要进行时序分析验证,以保证时序分析结果的准确性。在 PT 中,我们可以使用 report checks 命令生成时序分析验证报告,报告中包括了时序分析结果的正确性信息。 以上就是 PT 做 STA 静态时序分析教程,希望能够对你有所帮助。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值