vcs common elaboration

3 篇文章 1 订阅
本文介绍了如何在kdb+环境中利用verdid工具来编译和完整构建数据库的过程,包括了使用`common_elab`指令与vcst相关操作。
摘要由CSDN通过智能技术生成

-kdb   //use verdi to compile verdi database

-kdb=common_elab   // use vcs to compile verdi database completely

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值