VCS使用记录-选项-错误分析

2 篇文章 0 订阅

前言

使用流程

编译选项

elaboration选项

仿真选项

性能优化

部分编译/增量编译

% rm -rf simv* csrc* partition* *dir*
% vlogan -sverilog ./src/rtl.v ./src/test.v
% vcs -sverilog -partcomp -simcopy top
% cp -r simv.daidir/partitionlib ./some/user/path/last_pc_db
% cd ./some/user/path/

并行编译

You can improve the compile-time performance by specifying the number of parallel processes VCS can launch for the native code generation phase of the compilation/elaboration. You should specify this using the compile-time option -j, as shown below:

% vcs -j<num_of_process> [options] top_entity/module/config

vcs -j4 top

问题集

编译

Top module/entity/config "uvm_custom_install_recording" is not found

Question:

I get the following error. What is the cause of this issue?

Error-[TMENF-ILL] Top Module/Entity not found
  Top module/entity/config "uvm_custom_install_recording" is not found in the 
  following library list.
  Liblist: DEFAULT

1 error
CPU time: .091 seconds to compile

 common elaboration failed
make: *** [error] Error 255

Answer:

The above error occurs in VCSMX UUM flow with partition compile when UVM
package is not analyzed separately without any source files.

As per VCSMX documentation at "VCS� MX / VCS� MXi Release Notes > VCS� MX / VCS� MXi 
Release Notes F-2011.12-SP1
> Using UVM With VCS > Natively Compiling and Elaborating UVM-1.1a", the use model 
is as follows:
-----------------------------------------------
For a mixed-HDL or UUM environment, compile UVM-1.1a with vlogan using the following command:

% vlogan -ntb_opts uvm [compile_options] 
// no source files here!

% vlogan -ntb_opts uvm [compile_options] \

   
    

Note:
- Complete the first step that compiles the UVM library before using the subsequent command. The first vlogan call
  compiles the UVM library. This is without any user source files specified.
- In specific cases, the subsequent vlogan command might error out with Error-[UM] Undefined Macro. In this scenario
  you must explicitly add `include uvm_macros.svh to the file getting this error.

Elaborate the design as follows:

% vcs -ntb_opts uvm [elab_options] 
    
     
-----------------------------------------------

Add "vlogan -ntb_opts <Your UVM version>" before any other analysis commands like 'vlogan' to avoid this
issue.

[TMENF-ILL] Top Module/Entity not found:Top module/entity/config "tb" is not found in the following library list: DEFAULT

在elaboration阶段报出上面问题。

如果是三步法编译,可能是在前面compilation阶段没有编译到top module或者.f,所以在后面elaborate的时候,在DEFAULT library中找不到tb module。

需要看一下vlogan或者vhdlan的编译选项中的filelist有没有缺失

仿真

  • 13
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
"Top module/entity not found"是一种出现在编程语言中的错误提示,通常在硬件描述语言(HDL)中使用。该错误提示表示在项目中找不到顶层模块或实体定义。 在硬件描述语言中,我们使用模块或实体来定义硬件电路的功能和结构。顶层模块或实体是整个电路的主要入口点,它描述了整个电路的组成和功能。当编译器或集成开发环境遇到"top module/entity not found"的提示时,意味着它找不到用来描述整个电路的主要模块或实体定义。 解决这个问题的方法是检查项目文件中的模块或实体定义,并确保顶层模块或实体的名称和文件路径是正确的。可能的原因包括文件路径错误、文件名错误、文件未添加到项目中或缺少必要的文件。 在修复路径或文件名错误时,需要确保文件的实际位置与项目文件中指定的路径一致。另外,还需要检查文件是否正确地包含在项目中,以确保编译器可以找到并使用它。 如果所有相关的文件都正确引用并在项目中,但错误仍然存在,那么可能需要检查文件是否正确地定义了顶层模块或实体。可能是在文件中出现了拼写错误或语法错误,导致编译器无法识别顶层模块或实体。 总的来说,当出现"top module/entity not found"的错误提示时,需要仔细检查项目文件中的模块或实体定义,确保文件路径、文件名和模块或实体名字都是正确的,并且文件正确地包含在项目中。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值