PT OCV

2 篇文章 0 订阅

In the OCV mode, PrimeTime performs a conservative analysis that allows both minimum and maximum 

delays to apply to different paths at the same time.

For a setup check, it uses maximum delays for the launch clock path and data path, and minimum delays for the capture clock path.

For a hold check, it uses minimum delays for the launch clock path and data path, and maximum delays 

for the capture clock path.

 

set_operating_conditions -analysis_type on_chip_variation WCCOM

 

## 5% derate for clock & data path

set_timing_derate -early -cell_delay 0.95

set_timing_derate -late -cell_delay 1.05

 

Early path delays include the capture clock path for a setup check, and the launch clock path and 

data path for a hold check. Late path delays include the launch clock path and data path for a 

setup check, and the capture clock path for a hold check.

 

 

Clock Reconvergence Pessimism Removal

Clock reconvergence pessimism is an accuracy limitation that occurs when two different clock 

paths partially share a common physical path segment and the shared segment is assumed to have a 

minimum delay for one path and a maximum delay for the other path. This condition can occur any 

time that launch and capture clock paths use different delays, most commonly with OCV analysis. 

Automated correction of this inaccuracy is called clock reconvergence pessimism removal(CRPR).

 

set timing_remove_clock_reconvergence_pessimism true

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值