自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

mkelehk的专栏

要真懂

  • 博客(8)
  • 资源 (27)
  • 收藏
  • 关注

转载 E:无法修正错误,因为您要求某些软件包保持现状,就是它们破坏了软件包间的依赖关系

安装terminator等一些软件等时候,遇到了这样等问题leo@leo:~$ sudo apt-get install terminator[sudo] password for leo: 正在读取软件包列表... 完成正在分析软件包的依赖关系树 正在读取状态信息... 完成 有一些软件包无法被安装。如果您用的是 unstable 发行版,这也许是因为系统无法...

2016-08-31 00:27:56 39535 2

转载 SAM-BA连接不上

AT91SAM9260与SAM-BA的连接是由于芯片内有一段固化的代码运行起来后才会检测到目标板并建立连接。假设现在你烧写了Bootstrap进去,芯片上电后发现有可运行的代码,从而就不执行片内固化的那个代码。因此,就无法与SAM-BA建立连接。解决方法主要有以下几个方面:1.在开发板上已经预留了处理这个问题的硬件,即有个按钮,一旦这个按钮按下,测清除flash中的所有代码,以

2016-08-31 00:10:34 3993

原创 modelsim仿真带IP核(PLL)的方法

单击“simulation”菜单栏下的“start simulation”,在弹出的对话框中点击“Libraries”,添加两个库路径,220model 以及 altera_mf,其路径具体在“D:\altera\11.0\11.0_modelsim_ase_windows\modelsim_ase\altera\verilog”下。

2016-08-28 23:51:11 3387

原创 点击11.0_devices_windows 的 setup.exe没反应

拷贝到没有中文的路径上再安装,路径最好也不要带(x86)之类的

2016-08-28 14:50:12 2745

原创 ModelSim看例化内部的信号波形

sim窗口中,右键单击实例->"Add"->"To Wave"->"All items in design"

2016-08-28 00:25:23 4000

转载 常见的Linux内核中内存分配

1.      原理说明Linux内核中采用了一种同时适用于32位和64位系统的内存分页模型,对于32位系统来说,两级页表足够用了,而在x86_64系统中,用到了四级页表,如图2-1所示。四级页表分别为:l         页全局目录(Page Global Directory)l         页上级目录(Page Upper Directory)l         页中间目录

2016-08-05 17:12:22 354

转载 Linux 下smi/mdio总线通信

Linux 下smi/mdio总线通信韩大卫@吉林师范大学下面代码描述了在用户层访问smi/mdio总线, 读写phy芯片寄存器的通用代码。Linux内核2.6以上通用。将下面代码编译后,将可执行文件a.out 重命名为mdiomdio eth0 1 读取phy寄存器1的数值mdio eth0 0 0x1120 将0x1120写入 phy寄存器1eth0 为mac层

2016-08-02 23:51:12 1615

转载 64位win7硬盘安装64位ubuntu 13.04

win7没有boot.ini,所以转载了这篇文章。最近本来是准备通过升级的方式把ubuntu从12.04升级到12.10再升级到13.04的,但是升级到12.10之后,可能是因为某一步的操作不当,出现无法进入系统的情况。不过还好的是升级之前保存了主要的文件,于是便决定重新安装ubuntu。这里总结一下我通过用硬盘安装的步骤:一、文件下载ubuntu 13.04桌面64位版下

2016-08-02 23:49:06 555

TDS1000C/2000C系列泰克示波器固件

V24.26 is a firmware update for TDS1000C-SC AND TDS2000C series oscilloscopes. 版本:V24.26 固件号:066134800 更新时间:22 Mar 2013 官网下载地址:https://www.tek.com.cn/support/product-support?model=TDS1002C-SC

2024-06-28

phywhispererusb-vcs-sim.zip

开源USB硬件协议分析仪phywhispererusb的FPGA功能仿真(testbench),原工程使用[Icarus Verilog]进行仿真,没有业界上使用的Synopsys VCS+Verdi仿真专业,本资源将testbench移植到VCS上,使用verdi阅读verilog源代码和查阅波形,方便理解phywhispererusb工程的fpga代码。

2023-08-26

usb2.0-usb3.0.zip

usb2.0和usb3.0的协议规范,搞usb尤其要看烂usb2.0协议文档,该文档可以编辑,当然了,您大可到usb.org官网上下载

2020-07-02

ov_ftdi_2020_0607.tar.gz

因为该工程的.git文件夹太大了,我删掉了,在这里说明git版本号: 该版本是基于仓库https://github.com/openvizsla/ov_ftdi.git下的2ecafcb6425663313952385b0eb03683e9834944(Remove obsolete wireshark dissector (#39)) 在2ecafc版本的基础上,删除原来submodule对应的migen(版本为0.8),改为使用当前最新的migen(0.9.x),仓库为https://github.com/m-labs/migen.git下的b1b2b298b85a795239daad84c75be073ddc4f8bd(zc706: redo FMC connectors) 同时删除misoc文件夹,使用misoc仓库版本为https://github.com/m-labs/misoc.git下的7e5fe8d38835175202dad2c51d37b20b76fd9e16的misoc/interconnect/下相关文件 当然也稍微修改了一下ovctl.py和Makefile等 新版本migen不使用xilinx默认的工具链路径,所以编译时需要先指定Xilinx的工具链路径: export PATH=$PATH:/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64 再make

2020-06-07

qt5.9.8的USB键鼠热插拔源码修改.tar.gz

QT5.9.8鼠标键盘热插拔默认情况是使用udev进行热插拔的,但很多情况下嵌入式系统udev配置不完整, 可能需要systemd里面的udev组件才能正常工作 鉴于此,参考网上的朋友的修改例子,不使用udev也能正常检测键鼠热插拔

2020-03-05

HaneWinNFSServer_ha_setup.zip

HaneWinNFSServer_ha_setup 是WINDOWS下的NFS服务器,在开发嵌入式时能比较好地替代tftp将可执行文件上传到板卡,但考虑到windows系统与linux系统的差异 不建议在windows NFS共享出来的文件夹下进行开发,而是改为使用samba服务器在linux系统上的文件夹共享出去给windows。

2019-11-06

sourceinsight4.0.99.zip

SourceInsight比较方便阅读C/C++。截止2019年9月13日中秋佳节为止的最新版本SourceInsight4.0.0099。内含官方安装包和劫持dll。download自吾爱po解网,特此感谢。 若喜欢该软件,请购买正版!!

2019-09-12

modelsim10.6d

是modelsim10.6d-se的资源链接,若链接失效,请联系我(CSDN站内私信),我也是从网友得到的,现分享给大家。 亲测编译viviado2017.4库无错误,另外我还有QuestaSim10.6c,也是从网友得到,但我没有放进这里来

2018-10-13

BCM43142官方驱动文件

centos7.5的BCM43142驱动程序与安装说明,有助于在使用博通BCM43142无线网卡的使用,不过只适用于在centos7系列里。另外里面的文件均可从官方获取到,请知悉

2018-10-09

Vivado/ISE与modelsim联合仿真

ISE与Vivado与modelsim联合仿真步骤,比较详细,可参考

2016-11-12

xilinx ise 14.7 license

xilinx ise 14.7 license 亲测可用

2016-06-18

《ROOTKITS—Windows内核的安全防护》使用的源代码

由于书上下载源码的网址现在已经不能打开了,现只能使用之前已经download下了的备份源码了

2015-07-28

《自己设计制作CPU与单片机》附录与配套源代码

《自己设计制作CPU与单片机》附录与配套FPGA源代码,书上说是光盘,但我买这书时并没有光盘,这个文件也是我自己在网上下载的,并不能保证没有被修改过

2015-03-15

STM8 I/O模拟SPI读取SD卡

STM8I/O模拟SPI测试程序,部分移植Petit FatFs文件系统(没有移植SD卡写部分),只是简单测试使用

2015-03-14

linux-2.6.11源码

linux-2.6.11源码,可配合《深入理解Linux内核》

2014-11-16

《算法导论》及课后习题

《算法导论》及课后习题,对思维和数学能力有很大锻炼

2014-07-13

TMS320C6748的CCS程序开发入门教程

使用CCS5.0编译器,讲解TMS320C6748、OMAPL138 DSP入门的三个程序,GPIO控制,中断,串口程序。

2014-03-28

SD卡及FAT32初步了解

SD卡的单片机驱动,FAT32文件系统的初步了解以及petit_fatfs文件系统的移植

2014-03-28

PWM的一阶保持DAC

PWM的频率对应于采样率,而占空比对应于数字量化,可用PWM模拟低精度的DAC,降低成本。

2014-03-28

OV7670驱动

OV7670,摄像头,可用数据液晶、串口发送到电脑上观看图像

2014-03-28

WAV音频格式

WAV音频格式,一阶保持,AVR单片机的PWM功能

2014-03-28

基于STM32的ADNS3080测量移动距离

基于STM32的ADNS3080测量移动距离

2014-03-28

ADNS-3080鼠标芯片资料(含自带固件)

ADNS-3080鼠标芯片资料(含自带固件),对于开发,制作有关鼠标或者测量有很大帮助

2012-05-07

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除