modelsim仿真带IP核(PLL)的方法

单击“simulation”菜单栏下的“start simulation”,在弹出的对话框中点击“Libraries”,添加两个库路径,220model 以及 altera_mf,其路径具体在“D:\altera\11.0\11.0_modelsim_ase_windows\modelsim_ase\altera\verilog”下。
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
回答: 要进行PLL锁相环模型的仿真,可以按照以下步骤进行操作。首先,点击分析与综合按钮,然后分配引脚,将输出的时钟分配到开发版的扩展引脚。接下来,编译整个工程。在使用modelsim软件进行仿真之前,需要添加altera_mf.v文件。可以右击桌面上Quartus II的快捷方式,打开文件所在位置,然后搜索找到altera_mf.v文件的位置,并将其复制到相应的文件夹下。接下来,可以在modelsim下创建一个工程,添加已存在的文件,包括ip_pll中的par文件夹下的ipcore文件。完成添加后,进行全部编译。然后,开始仿真,选中Design中work下面的tb文件,并运行仿真。如果出现错误缺少顶层文件,可以右击tb_ip_pll.v,添加所需的文件。重新开始仿真后,可以右击u_ip_pll,添加波形,并运行仿真。\[1\] 关于PLL锁相环的模型,它是一种反馈控制电路,利用外部输入的参考信号来控制环路内部震荡信号的频率和相位。它包括前置分频计数器N、相位频率检测器PFD、电荷泵Charge Pump、环路滤波器Loop Filter、压控振荡器VCO、反馈乘法器M以及后置分频器K和V。通过这些组件的协同工作,PLL锁相环可以实现信号的频率和相位的稳定控制。\[2\] 关于基于双二阶广义积分器的三相锁相环(DSOGI-PLL),它是一种锁相环的变种,具有较高的性能和稳定性。它采用双二阶广义积分器作为环路滤波器,可以实现更精确的相位和频率控制。这种锁相环模型在实际应用中具有很高的价值和可靠性。\[3\] #### 引用[.reference_title] - *1* *2* [FPGA之PLL锁相环的使用和仿真](https://blog.csdn.net/yijiancmy/article/details/104193149)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down1,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [基于双二阶广义积分器的三相锁相环(DSOGI-PLL)MATLAB仿真](https://blog.csdn.net/weixin_56691527/article/details/129898770)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值