触发器和锁存器的概念及FPGA中的使用

本文介绍了锁存器latch和触发器flip-flop的基本概念,强调了锁存器对脉冲电平敏感,而触发器对脉冲边沿敏感。在FPGA设计中不推荐使用锁存器,因为它们可能导致毛刺、静态时序分析困难且消耗更多资源。生成锁存器的情况通常发生在if-else或case语句中缺少相应语句。
摘要由CSDN通过智能技术生成

在《深入理解Atera FPGA应用设计》的书中第3.6节详细介绍了在代码中使用寄存器而不是锁存器的原因。这里在这个基础上整理了网上的一些资料供参考。


1. 锁存器latch和触发器flip-flop的基本概念

(1)锁存器(latch)---对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。

锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,仅当锁存器处于使能状态时,输出才会随着数据输入发生变化。


锁存器不同于触发器,它不在锁存数据时,输出端的信号随输入信号变化,就像信号通过一个缓冲器一样,如果输入端有毛刺,输出端也会带来毛刺;一旦锁存信号起锁存作用,则数据被锁住,输入信号不起作用。锁存器也称为透明锁存器,指的是不锁存时输出对于输入是透明的,输出就等于输入。


<
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值