VHDL交通灯

交通灯控制电路设计

要求:

(1)使用开发板自带的50MHz晶振作为时钟源;

(2)电路具有6个LED输出,分别代表东西向红、黄、绿指示灯和南北向红、黄、绿指示灯;电路具有4个数码管输出,分别代表东西向倒计时和南北向倒计时信息;

(3)电路对十字路口的两组交通灯的状态实现自动循环控制;

(4)实现东西车道和南北车道上的车辆交替运行,每次通行绿灯时间为20秒;绿灯结束后,黄灯亮3秒,然后才能转为红灯,黄灯亮时要每秒闪亮一次;

(4)东西车道和南北车道每次通行的时间不同且可调;(选作)

//顶层文件
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;

   ENTITY light IS
      PORT(CLK_50MHZ,RST: IN STD_LOGIC;
			NS_G: OUT STD_LOGIC;
			NS_Y: OUT STD_LOGIC;
			NS_R: OUT STD_LOGIC;
			WE_G: OUT STD_LOGIC;
			WE_Y: OUT STD_LOGIC;
			WE_R: OUT STD_LOGIC;	--定义6个LED灯分别为两个路口的交通灯
			NS_H: OUT STD_LOGIC_VECTOR(6 DOWNTO  0);  --南北倒计时的十位
			NS_L: OUT STD_LOGIC_VECTOR(6 DOWNTO  0);  --南北倒计时的个位
			WE_H: OUT STD_LOGIC_VECTOR(6 DOWNTO  0);  --东西倒计时的十位
			WE_L: OUT STD_LOGIC_VECTOR(6 DOWNTO  0));  --东西干倒计时的个位
			
END ENTITY light;

ARCHITECTURE bhv OF lightIS
component clk

    generic(n:integer:=50000000);
    port(CLK_50MHZ:in std_logic;
         CLK_1HZ:out std_logic);
end component;


component main

		PORT(CLK_1HZ,RST: IN STD_LOGIC;
			NS_G: OUT STD_LOGIC;
			NS_Y: OUT STD_LOGIC;
			NS_R: OUT STD_LOGIC;
			WE_G: OUT STD_LOGIC;
			WE_Y: OUT STD_LOGIC;
			WE_R: OU
  • 0
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值