FPGA_计数与点灯_偶分频

一 理论

分频器,分为偶数分频,奇数分频,和计数器非常类似。分频器就是把输入信号频率变成倍数低于输入频率的输出信号。

二 电路

开发板:

  输出信号输出至开发板拓展io口,使用示波器显示波形,检测信号频率。

三 信号

框图:

波形图:

四 代码

module divider_six
(
  input wire sys_clk,
  input wire sys_rst_n,

  output reg clk_out
);

reg [1:0] cnt;//定义中间变量。

always@(posedge sys_clk or negedge sys_rst_n)
   if(sys_rst_n==1'b0)//异步复位。
       cnt<=2'd0;//赋初值。
   else   if(cnt == 2'd2)
       cnt<=2'd0;//清零。
   else  
       cnt <= cnt + 2'd1;//计数。

always@(posedge sys_clk or negedge sys_rst_n)
   if(sys_rst_n==1'b0)//异步复位。
        clk_out<=1'b0;//赋初值。
     else      if(cnt==2'd2)
        clk_out<=~clk_out;//取反。
     else
        clk_out<=clk_out;//保持。

endmodule

  • 9
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值