自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(52)
  • 收藏
  • 关注

原创 FPGA ——Verilog语法示例

genvar i;i

2024-04-22 23:14:09 358

原创 FPGA——DDR3的IP核

控制MIG核的信号进行读写。

2024-03-18 22:36:55 773

原创 FPGA——三速自适应以太网设计(2)GMII与RGMII接口

只在时钟的上升沿通过RGMII接口处理低四位,下个时钟上升沿再处理高四位。所以在上升沿和下降沿都输入输出同一个数据就行。输入和输出的时候,GMII的8位数据,先在时钟上升沿通过RGMII接口处理低四位,再在时钟的下降沿继续处理高四位。RGMII:ETH_RXCTL线同时表示有效和错误,有效和错误位相异或得到。GMII:发送端时钟由MAC端提供。下降沿变化数据,上升沿采集数据。

2024-03-06 00:29:15 1819

原创 FPGA——三速自适应以太网设计(1)基本模块

FPGA——以太网设计(1)基本模块1. 协议解析(1)MAC层(2)IP层 和 ARP层(3)UDP层 和 ICMP层2.1 MAC接收模块2.2 MAC发送模块3.1 IP接收模块3.2 IP发送模块4.1 UDP接收模块4.2 UDP发送模块5.1 ICMP接收模块5.2 ICMP发送模块6.1 ARP接收模块6.2 ARP发送模块6.3 ARP表模块7 CRC数据对比模块8 MAC下ARP和IP数据分流模块9 数据流仲裁模块模块收发组合1 MAC层收发2 ARP层收发2 IP层收发3 ICMP层收发

2024-03-06 00:28:53 1463 2

原创 FPGA模块——IIC接口设计

【代码】FPGA模块——IIC接口设计。

2024-02-22 02:17:35 711

原创 FPGA模块——SPI接口设计

实际上就是加入了(芯片命令cmd + 寄存器地址) 的数据。读写操作的位数可以由spi控制器来控制,用于完成各种spi协议芯片的读写任务。user输入: valid信号 , 要输出的值。输出 :一个周期读valid , 读到的值。连续读出存在FIFO中的数据。

2024-02-14 15:56:53 761

原创 FPGA模块——FIFO

界面12.3.4.

2024-02-05 20:05:44 416

原创 FPGA——芯片手册学习(AD7606)

6:并行、串行、字节选择,我们使用并行,设置为07STBY:睡眠控制,0电平睡眠9 10CONVST A/B:驱动ADC模拟信号转换控制引脚,A控制低一半,B控制高一半11RESET:复位,高有效,持续50ns以上12RD/SCLK:读数据控制信号13CS:片选信号14BUSY:繁忙指示信号15FRSTDATA:第一通道指示信号DB0~DB15:读数据通道。

2024-01-31 01:38:26 1217

原创 FPGA中跨时钟域传数据——(1)单bit脉冲

这种情况下,我认为可以再把慢时钟信号展宽,再打两拍,再进行上升沿读取。假如产生亚稳态时,在接收时钟,打两拍,就可以极大减少亚稳态。必须在建立时间和保持时间内,数据不变化,否则会产生亚稳态。在快时钟里面进行数据展宽(增加信号长度)这种情况可以打两拍之后,当做上升沿读取。

2024-01-23 01:33:18 453

原创 FPGA时序分析与时序约束(Vivado)

Tsu裕量 = (Tskew + 时钟周期 - Tsu) - (Tco + Tdelay)Thd裕量 = Tco + Tdelay - Thd。时间分析,还要考虑数据变化的建立时间与保持时间。两个时间都大于0,才能保证系统不产生亚稳态。建立时间裕量、组合逻辑延时决定时钟最高频率。后缀L的这个单元中,会生成锁存器。一级逻辑级数延迟约为0.4ns。3.查看详细计算过程。

2024-01-18 15:17:36 577

原创 FPGA的电平标准

TTL:三极管单端输出(FPGA板子上的IO电平标准) 几十MHZCMOS:MOS管单独输出,功耗低,翻转快(<150MHZ)LVDS:低压差分信号LVPECL:高速差分,PECL差值更大,干扰更强,速度大于LVDS当外部电平输入为LVPECL,而FPGA是LVDS时:硬件需要使用电阻网络将LVPECL转换为LVDS.TMDS:差分,针对HDMI视频传输.要求10bit 数据串行通过端口SSTL,HSTL:专用于DDR存储器的单端标准单端:信号由一根导线输出。

2024-01-10 13:54:42 1097

原创 FPGA——时序分析与约束(Quartus II)

通过分析FPGA内部各个存储器之间的数据和时钟传输路径,来分析数据延迟和时钟延迟的关系,保证所有寄存器都可以正确寄存数据。数据和时钟传输路径是:由EDA软件,通过针对特定器件布局布线得到的。:告知EDA软件,尽力达到约束指标。

2024-01-08 20:18:55 1377

原创 FPGA——VIVADO生成固化文件,掉电不丢失

vivado固化代码文件

2024-01-04 21:25:49 1475

原创 FPGA——XILINX原语(1)

IDDR:输入数据的双沿采样,是ILOGIC块中专用的寄存器,用于实现输入数据双沿采样。ODDR :输出数据的双沿采样,是OLOGIC块中专用的寄存器,用于实现输出数据双沿采样。常用的模式为 SAME_EDGE_PIPELINED mode。常用 SAME_EDGE mode;可以进行分频,就不用进入PLL了。其中 ILOGICE3的结构。HR是3 HP是2。

2023-12-22 01:30:26 1218

原创 FPGA模块——以太网(1)MDIO读写

MDIO是串行管理接口。MAC 和 PHY 芯片有一个配置接口,即 MDIO 接口,可以配置 PHY 芯片的工作模式以及获取 PHY 芯片的若干状态信息。1.MDIO部分的接口结构2.千兆以太网在接口上兼容百兆和十兆以太网。3.YT8511 是一个千兆以太网物理层收发器,支持 1000/100/10Mbps 通信速率,该芯片内部的参数可以通过MDIO接口进行配置。

2023-12-20 01:32:00 1235 3

原创 FPGA模块——DA转换模块(AD9708类)

给DA转换器一个时钟,这个时钟是对clk时序时钟的取反。由于DA也是上升沿锁存数据,这样就保证DA模块读到的数据都是稳定了的数据。这样只是为了保证系统的稳定。由于电路接了反相器,所以对应就不一样了。用软件生成各个对应的点。

2023-11-27 23:49:44 1760

原创 FPGA模块——AD高速转换模块(并行输出转换的数据)

AD9280 支持输入的模拟电压范围是 0V 至 2V,0V 对应输出的数字信号为 0,2V 对应输出的数字信号为 255。而 AD9708 经外部电路后,输出的电压范围是-5V到+5V,因此在 AD9280 的模拟输入端增加电压衰减电路,使-5V到+5V 之间的电压转换成 0V 至 2V 之间。并行输入8位数据和超量程标志,要提供一个AD_clk给AD转换芯片。包括电压跟随器,反向放大器,减法器。工作电压2.7到5.5v。

2023-11-27 22:46:37 2057

原创 FPGA模块——SPI协议(读写FLASH)

有个输入时序的要求 开始时CS拉低等待(tSLCH要求最小5ns)再开始, 结束时CS拉高等待(tSHSL用100ns )再进行下一次操作。写使能:开始时CS拉低等待(tSLCH要求最小5ns)再开始,结束时CS拉高等待(tSHSL取100ns )再进行下一次操作。方便进行读取和局部操作。100m时钟和clk_cnt配合进行数据的读取和输出(clk_cnt有等于1和0的时候)主机和从机在时钟上升沿放入要输出的数据,在时钟下降沿读取要输入的数据。数据写多了会把之前的数据覆盖掉,要判断操作是否完成。

2023-11-25 17:29:40 3963 1

原创 三极管基础知识

箭头出发方向的电极比箭头指向方向的电极,高0.7v才导通。NPN控制下游是否接到地,PNP控制上游的电源能否接过来。三极管 NPN 和PNP。PNP是从e 流向 b c。NPN是从 b c流向e。

2023-11-22 19:41:34 100

原创 FPGA模块——IIC协议(读写PCF8591)

低功耗8位CMOS数据采集设备,4路模拟输入,1路模拟输出,分时多路复用,读取数据用串型iic总线接口,最大100khz。这个芯片中是有自己要求的,但是也符合iic协议的时序。写的8位数据就是DAC转换得到的电压值,控制字节(iic中写寄存器地址位8b)读的数据就是ADC转换得到的8位数据。

2023-11-18 02:21:12 756

原创 FPGA——IP核 基础操作

找到模版加入代码

2023-11-16 21:14:57 480

原创 FPGA模块——IIC协议(FPGA做主机操作24C64)

重点是:SCL在低电平期间SDA可以进行数据变化,而SCL在高电平期间,SDA的数据要保持稳定。当SCL和SDA为高,SDA突然拉低的时候,是起始信号(下降沿)。掉电不丢失,采用固定的读写协议。数据的稳定性和可重复擦写性突出。当SCL为高时,SDA突然拉高,是结束信号(上升沿)。有16位,24C64实际用到13位寄存器地址。要先向器件进行虚写命令,再进行读命令。电路设计,可以配置24C64的地址。不读了主机FPGA进行非应答。

2023-11-15 01:26:45 800

原创 FPGA模块——HDMI输出模块

HDMI解析

2023-11-10 02:21:13 369

原创 FPGA模块——串口发送和接收模块

【代码】FPGA模块——串口发送和接收模块。

2023-10-29 02:21:37 205

原创 FPGA模块——按键消抖+松手检测

作为按键模块,加入了松手检测,通过判断按键的值来检测是否松手。如果按照两个always语句来写,会出现问题。以下代码仿真没问题,实际上上板操作,灯不会亮。

2023-10-28 19:46:02 257

原创 FPGA ——(二)VIVADO建立工程以及编译下载

保存后可以看到引脚约束文件,也可以直接添加管脚约束文件。为了系统的稳定性,要进行周期约束。doc: 说明文件夹。prj: 工程文件夹。rtl: 代码文件夹。sim: 仿真文件夹。

2023-09-09 23:25:37 129

原创 STM32教学——电机+编码器+舵机+PID算法+多传感器的智能小车

输出的占空比也有一定的要求:就是在周期20ms内,高电平的时间是0.5ms 到 2.5ms 对应着舵机的转角(0到180°或者0到270°或者其他厂家设计的角度),所以舵机也只要输出一个pwm波就行了。pid算法是用的比较广泛的算法,目的就是让系统快速准确的到达目标值。通过调节Kp,Ki,Kd的值,来改变系统的状态。把电机接好驱动板,就可以通过单片机的pwm来控制电机的速度了,还可以根据其他接口的高低电平控制电机的正反转和刹车。通过PWM调节电机的转速,一般电机都会有驱动板,来驱动电机。

2023-08-16 20:36:33 1677

原创 STM32教学———(三)SPI读写协议的应用

还是理解一个点:把线连接好,然后单片机跟外接设备的存储器进行数据交换,协议都是固定的。本质上来说就是交换一个字节的数据,理解这个就够了。MOSO和MOSI (读写数据线)时钟线(控制通讯进度)片选(选中这个芯片)

2023-08-14 20:36:45 152

原创 STM32教学———(二)IIC读写协议的应用

iic协议

2023-08-14 19:01:44 119

原创 STM32教学——JQ8900语音模块+光照传感器+4G模块数据上传阿里云物联网

stm32+4g物联网项目

2023-08-14 17:24:54 2898 5

原创 STM32教学———(一)重点基础知识

核心重点

2023-08-13 16:07:59 182

原创 FPGA ——(二)Quartus II建立工程以及编译下载

将引脚配置文件放入doc文件夹下,并且打开进行引脚配置。找到安装quartus ii软件的文件夹下面驱动的路径。rtl: 代码文件夹。这个路径存放在工程文件夹里面吧。再Add File 添加文件。par: 工程文件夹。sim: 仿真文件夹。直接点击下一步安装即可。doc: 说明文件夹。再步骤5,编译一下。

2023-07-21 00:11:59 1957

原创 FPGA ——(一)Verilog基本语法

基本语法

2023-05-17 16:55:01 164

原创 STM32常用功能配置

STM32基本功能配置

2023-04-12 15:53:13 657

原创 ROS路径规划算法

ROS中的全局路径规划器和局部路径规划器

2023-02-25 17:30:39 3772

原创 ROS的代价地图与AMCL定位原理

代价地图和算法

2023-02-20 17:35:54 3102

原创 ROS机器人制作(三)—— ROS上位机与stm32进行串口通信

stm32与ROS上位机进行串口通信

2023-02-19 19:00:58 5575 22

原创 智能车摄像头算法——圆环元素

圆环元素

2023-01-27 13:37:54 9121 40

原创 智能车摄像头算法——寻线

最基本的寻线算法

2023-01-27 00:25:05 8120 22

原创 电机编码器的使用

总结来说,就是参考手册中的这张表stm32f1的编码器配置代码。

2023-01-25 17:52:50 2076

FPGA的DDR4驱动代码

FPGA的DDR4驱动代码

2024-02-25

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除