quartus II 9.1利用3-8译码器实现5人表决器(图示化工具)

设计思路:

5人表决器的工作原理:当有大于或等于3个人投通过(记为1)票则输出结果为通过(记为高电平1),否则为不通过(低电平0)。

本实验由一个3-8译码器(74138)和一些简单逻辑门组合而成。

烧录器件:DE2开发板

一、利用3-8译码器的特性实现电路逻辑

74138为3-8译码器的一种,它的特性是G1接高电平,G2、G3接低电平时才有效,输出结果对应真值表为:

故思路为如果3-8译码器的输入结果取反时,就可以分为0人、1人、2人或3人同意,对于这些情况在进行进一步分析,如果0人同意(对应为m0),这时无论后面两人结果如何,最后的结果都为0,同理3人同意时,无论后面两人结果如何,最后的结果都为1,剩下两种情况复杂一点,需要一些其他逻辑门。(m1、m2、m4)为1人同意情况,这时需要后两位都同意,所以需要将后两位用与门(and2)连起来。(m3、m5、m6)为2人同意情况,这时需要后1位或2位同意,所以需要将后两位用或门(or2)连起来。

二、对思路进行优化

因为3-8译码器的输入结果为(非m0这类),如果每一个都用非门的话太浪费了。可以采取下图:

这样只需要用与非门(nand3)即可

三、电路图实现

四、仿真结果

F为输出结果,后面a1-g1为七段显示器的输出结果。

补充:

引入七段显示器(7447)是为了让烧录结果更清晰。可根据需求取舍。

  • 16
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值