Cadence学习记录(三)芯片封装设计

研读芯片手册

根据芯片手册,确定各边管脚起始坐标以及管脚间距等信息为封装绘制做准备,下图为GD32E230芯片LQFP48封装为例,具体可以将芯片管脚分为4个象限分别对应1、2、3、4;

封装设计软件初始化设置

封装文件新建、单位设置

1、打开下图所示功能菜单对话框选择Allegro PCB Designer

img

2、进入软件应用后新建,选择封装文件存储目录,类型选择Package symbol

3、文件新建后,首先应设置单位(这里采用mm单位制)

  • 点击功能菜单Setup->Design Parameters…

  • 点击Design中单位制选择Millimeter为单位

设置封装设计中封装的坐标原点

1、在功能菜单中点击显示图纸栅点,方便坐标原点的放置,具体如下:

2、点击菜单栏Setup->Change Deawing Origin,出现光标点击放置原点

3、在任意的位置放置坐标原点

4、点击菜单栏中Setup->Design Parameters,选择Design,查看Extents关于坐标原点,进行手动修改,注意这里的数值要为负数,到此坐标原点设置修改完成,具体参考如下:

放置封装管脚焊盘

1、选择加载之前绘制的焊盘将其添加到元器件封装设计中

2、选择加载之前绘制的焊盘;输入需要加载绘制的焊盘,搜索添加到元器件PCB封装绘制器中

img

3、确定管脚起始号,并且设定管脚间距,此外设定原点(参考点)坐标

在command中先输入坐标点**(x -4.1 y 2.75)**,确定1-12脚,按下回车键后进行输出。

注意💡:在command中x,y坐标必须中必须要有空格,x,y名称与数值之间也必须要有空格,如下图格式为(x ** y **)同时也可以采用(x ** **)即可

4、首先输入13号起始管脚所对应的信息,其中在Rotation中将其选择90度进行旋转,然后在Command输出管脚坐标点**(x -2.75 -4.1)**,最后输出6所示管脚封装焊盘

5、首先输入25号起始管脚所对应的信息,其中在Rotation中将其选择旋转回0度,同时在Ordery设置为up,然后在Command输出管脚坐标点**(x 4.1 -2.75)**,最后输出管脚封装焊盘

5、首先输入37号起始管脚所对应的信息,其中在Rotation中将其选择旋转回0度,同时在Orderx设置为Left,然后在Command输出管脚坐标点**(x 4.1 2.75)**,最后输出管脚封装焊盘,到这里芯片管脚焊盘放置完成。

放置芯片封装边框

1、菜单栏点击Add->Line

2、在C****ommand中输出对应的代码,先确定线段起始坐标点,依据其1-4顺序根据线段长度进行信息填入绘制,参考如下:

添加丝印

1、同样和上述边框绘制一样,添加Line线段

2、设置丝印线段参数,将其添加到顶层转角90度,线宽为0.127

3、手动在芯片周边拖动绘制,其绘制效果如下:

4、添加定位圆,在菜单栏中选择Add->Cricle

5、添加Layout->RefDes,键盘输出REF

再添加一个RefDes->属性选择Silkscreen_Top,放置在丝印外围

最终效果如下:

  • 2
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Cadence系统级封装设计的开发工具Allegro是专为电子设计行业而设计的一款软件。它提供了完整的设计流程,从原理图设计到PCB布局和制造输出。Allegro具有许多强大的功能和工具,使用户能够高效地完成复杂的系统级封装设计。 首先,Allegro具有灵活的原理图设计工具。它支持多种设计风格,包括原理图绘制、断网板设计和分层布局等。用户可以使用电子元件库快速添加组件,并通过连接线连接它们。此外,Allegro还提供了强大的可视化编辑工具,使用户可以在设计过程中实时查看和修改原理图。 其次,Allegro提供了强大的PCB布局工具。用户可以将原理图转换为物理布局,并根据设计要求布置电子元件。Allegro支持多种布局规则和约束,例如间距限制、信号完整性和电气规范等。此外,它还具有智能布线功能,使用户可以快速完成复杂的信号路径布线,并通过分层布局来实现电磁兼容性。 此外,Allegro还具有丰富的制造输出功能。它支持将设计转换为制造文件,如Gerber文件和钻孔文件。此外,Allegro还提供了DFM(设计制造)检查工具,以确保设计符合制造要求。用户可以使用这些功能来生产高质量的PCB板。 总的来说,Cadence系统级封装设计的Allegro提供了全面的设计流程,从原理图设计到PCB布局和制造输出。它的灵活性、强大的功能和工具使用户能够高效地完成复杂的系统级封装设计,满足电子设计行业的需求。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值