Verilog实现-0101序列检测器

本文详细介绍了如何使用Verilog语言实现一个0101序列检测器,包括功能模块的设计和测试模块的搭建,最终通过波形图验证了其正确性。
摘要由CSDN通过智能技术生成

功能模块

module mealy(clk,rst_n,din,dout);
	input clk,rst_n,din;
	output reg dout;
	reg [1:0] pre_state,next_state;
	parameter s0=2'b00,s1=2'b01,s2=2'b10,s3=2'b11;
	always@(posedge clk or negedge rst_n
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值